[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[f-cpu] vanilla vs simili



hello,

yesterday, before i fell asleep, i did a little modification
to the cat.vhdl file, following Michael's suggestion :
i defined a subtype "byte" with a range'd integer.

No surprise for simili : integer is integer, vanilla
reads 4 bytes at a time. It even copies the file and rounds
the size up to the next multiple of 4.

Simili gave me a surprise : first, it deals with bytes.
at least, this is what the old version i use does.
I think that it gives a little insight in how simili
works internally. I tried to raw-"write" some structures
and a std_ulogic_vector(N downto 0) outputs N bytes (not N-1).
Second, given a binary input, it stops after N bytes.
I compared the hexdump of the input and the output :
input  : 68 FF 7E
output : 68 68
(that is : where the output stopped). I fear that it can be
worse that i thought. Well i SHOULD update my local copy of
simili. Maybe it was fixed long ago. But stopping on a 0xFF
is not a good sign for a C program. I know : Simili is written
in C++ and i don't know a damn about it. So i will let
the experts discuss about it.

Any hint ?

WHYGEE
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/