[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [f-cpu] Re: [f-cpu] Re: [f-cpu] vanilla vhdl: "gääÄhhh !"



hi,

Michael Riepe wrote:
> 
> On Wed, Aug 22, 2001 at 12:37:25PM -0400, nicO wrote:
> [...]
> > > Alternatively, you can use vv87 instead of va87 and vs87 (it is
> > > statically linked and should work on your system, too).
> >
> > Have you some exemple how to run it ?
> 
> Just start "vv87" and type `help'.  It's a bit like dc_shell -- first,
> you have to analyze the sources, then you load a design and start
> simulating.  For the ASU EU, the command sequence is:
> 
>         analyze ../f-cpu_config.vhdl
>         analyze generic_adder.vhdl
>         analyze iadd.vhdl
>         analyze iatest5.vhdl
>         analyze iatest6.vhdl
>         analyze asu.vhdl
>         simulate work.iadd_test
>         run
>         simulate work.iadd_pipetest
>         run
>         quit
> 
> If va87 and vs87 work, you can analyse files (va87) and simulate designs
> (vs87) from the unix command line (vs87 puts you in the command
> interpreter where you have to type "run", as in vv87).  For all three
> commands, the option "-x" enables VHDL'93 extensions.
>  Michael "Tired" Riepe <Michael.Riepe@stud.uni-hannover.de>

thanks,
i'll try that and i'll update my files.
give me one day or two.

WHYGEE
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/