[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[f-cpu] VHDL scripts + OT



hello,

i am currently cleaning up and updating
my local F-CPU files (i'll have to burn a CD for
Cedric who goes to CCC).
It seems that i have missed Michael's files,
or did not succeed to integrate his marvelous
scripts. I presume he now has newer versions
that support Simili2. Can i get them ?

Almost OT : i just got a copy of the CDROM
that goes along the book entitle "The anatomy
of a high-performance microprocessor : a system
perspective". It deals mostly with x86 clones
design (nextgen, amd, cyrix) but it is VERY
VERY interesting. a must-buy. On top of that,
the CDROM is filled with a lot of paper reprints,
most of them are "classics" (ie 2-level branch
prediction or von Neumann's paper on EDVAC...)


WHYGEE
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/