[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [f-cpu] new manual version



hello,

Ben Franchuk wrote:
> It looks good. Now that I have docs to read;
remark : it is the same as the version from 1 year ago !
the files have been moved around etc. but there is not
change in the contents.

> Is the logic function decoding for the ROP2 fixed at this time.
it is not "fixed" because you can change it in f-cpu_config.vhdl
and it is synthesized automatically.

> It looked messy to me so I have what may be cleaner version.
> 
> FABN
> LLLL  A and   B
> LLLH  A nand  B
> LLHL  A and  ~B
> LLHH  A nand ~B
> LHLL ~A and   B
> LHLH ~A nand  B
> LHHL ~A and  ~B
> LHHH ~A nand ~B
> HHLL  0 xnor  0 (1)
> HLLH  0 xor   0 (0)
> HLHL  0 xnor  B ~B
> HLHH  0 xor   B  B
> HHLL  A xnor  0  A
> HHLH  A xor   0  B
> HHHL  A xnor  B
> HHHH  A xor   B
> 
>  TempA = InA * A
>     + /InA * /A * /F    ; pre process bit A
> 
>  TempB = InB * B
>     + /InB * /B * /F    ; pre process bit B
> 
>  TempC = / TempA * / TempB * F
>     + TempA * TempB     ; xnor , and selection
> 
>  Out = TempC xor N      ; Negate output if needed

???

in the most recent version, i simply use a 4-input MUX :-)
the "logic" transformation is performed by a LUT during the
decoding or Xbar cycle. look at the "stable" source code.

> Ben Franchuk - Dawn * 12/24 bit cpu *
WHYGEE
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/