[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

[f-cpu] magnetude comparison



Hello F-people!

i'm looking for a fast magnitude comparison for unsigned numbers
i need to know exactly which one of the mantissa (24 bits or 53 bits) is the largest to feed the LOP with.
I was planing puting a comparator in the first stage of the adder, but the obvious method is too long
(comparison from the last bit to the first). I wonder if such a comparator exists with d<8...
In fact, i only need one bit result:
0 : A >= B
1 : A < B

Does anyone have an idea for it :) ?


++@


--

~~ Gaetan ~~
http://www.xeberon.net


*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu in the body. http://f-cpu.seul.org/