[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [f-cpu] "yet another ROP2 version"



hi !

Michael Riepe wrote:
> On Thu, Jul 25, 2002 at 01:05:58PM +0200, Yann Guidon wrote:
> [...]
> > However, could someone help me rewrite the following :
> > (in f-cpu/vhdl/eu_rop2/vect_rop2.vhdl)
<snip>
> > all i wanted is transform the integer into a std_ulogic
> > but never found the right casting :-/
> 
>                 constant std_0 : std_ulogic := '0';
>                 constant std_1 : std_ulogic := '1';
>         begin
>                 for a in std_0 to std_1 loop
>                         l_ROP2_in_A := (others => a);
>                         for b in std_0 to std_1 loop
>                                 l_ROP2_in_B := (others => b);
>                                 for c in std_0 to std_1 loop
>                                         l_ROP2_in_C := (others => c);
>                                         all_functions;
>                                 end loop;
>                         end loop;
>                 end loop;

oh yes, i did not know where this trick was located in your code...
thanks :-)

>  Michael "Tired" Riepe <Michael.Riepe@stud.uni-hannover.de>
WHYGEE
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/