[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [f-cpu] ROP2 unit



Yann Guidon a écrit :
<..>
> -- YG> warning : huge fanous ! 1->64 for 4 signals, i hope that the synthesiser
> -- will generate the proper buffer tree.

You never _never_  take care of such low level stuff. You will take care
of it during problem at the synthesys stage. Keep the code simple and
understandable. In such udge project it's the most important thing.

nicO
*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/