[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-cvs: CVS update: 001geda_documentation.html



  User: pcjc2   
  Date: 07/02/23 18:32:03

  Modified:    .        Tag: noscreen 001geda_documentation.html
                        001geda_faq-attribs.html 001geda_faq-gnetlist.html
                        001geda_faq-gsch2pcb.html 001geda_faq-gschem.html
                        001geda_faq-simulation.html 001geda_gschem_ug.html
                        001geda_installation.html
                        001geda_pcb-quick_reference.html
                        001geda_pcb_tips.html 001geda_scg.html Makefile.am
                        geda_cygwin.html geda_faq-gnetlist.html
                        geda_faq-gschem.html geda_faq-simulation.html
                        geda_faq.html geda_file_format_spec.html
                        geda_gschem_ug.html geda_icarus_ieee1364.html
                        geda_icarus_mp.html geda_icarus_opcodes.html
                        geda_icarus_vpi_mp.html
                        geda_icarus_vpi_within_vvp.html
                        geda_icarus_vvp_runtime.html
                        geda_icarus_vvp_simulation.html
                        geda_icarus_xnf.html geda_igarus_fpga_lcg.html
                        geda_installation.html
                        geda_master_attributes_list.html
                        geda_mcalc_readme.html
                        geda_pcb-quick_reference.html geda_pcb_tips.html
                        geda_scg.html geda_todos.html
                        geda_tragesym_tutorial.html geda_wcalc_readme.html
                        index.html start_fr.html
  Added:       .        Tag: noscreen 001geda_tragesym_tutorial.html
                        geda_devel-tips.html
                        geda_fedora_rpm_installation.html
                        geda_gedasuite_installation.html
                        geda_glossaire.html geda_suse_rpm_installation.html
  Log:
  sync with trunk
  
  
  
  
  
  
  Revision  Changes    Path
  No                   revision
  
  
  No                   revision
  
  
  1.2.6.1   +21 -21    eda/geda/gaf/docs/wiki/001geda_documentation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_documentation.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_documentation.html,v
  retrieving revision 1.2
  retrieving revision 1.2.6.1
  diff -u -b -r1.2 -r1.2.6.1
  --- 001geda_documentation.html	21 Oct 2006 03:57:46 -0000	1.2
  +++ 001geda_documentation.html	23 Feb 2007 23:32:02 -0000	1.2.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:documentation.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:documentation.fr?do=export_raw"; />
  -  <meta name="date" content="2006-08-11T17:42:32-0400" />
  +  <meta name="date" content="2006-12-05T12:57:01-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -74,11 +74,11 @@
   <h2><a name="gschem_-_saisie_de_schemas" id="gschem_-_saisie_de_schemas">gschem - Saisie de Schémas</a></h2>
   <div class="level2">
   <ul>
  -<li class="level1"><div class="li"> <a href="001geda_gschem_ug.html" class="wikilink2" title="geda:gschem_ug.fr">Guide de l'Utilisateur gschem</a> &ndash; En cours, vous pouvez participer</div>
  +<li class="level1"><div class="li"> <a href="001geda_gschem_ug.html" class="wikilink1" title="geda:gschem_ug.fr">Guide de l'Utilisateur gschem</a> &ndash; En cours, vous pouvez participer</div>
   </li>
   <li class="level1"><div class="li"> <a href="001geda_gschem_mp.html" class="wikilink2" title="geda:gschem_mp.fr">page de manuel gschem</a></div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_scg.html" class="wikilink2" title="geda:scg.fr">Guide de la Création de Symbole</a></div>
  +<li class="level1"><div class="li"> <a href="001geda_scg.html" class="wikilink1" title="geda:scg.fr">Guide de la Création de Symbole</a> (à traduire)</div>
   </li>
   <li class="level1"><div class="li"> <a href="001geda_hse_howto.html" class="wikilink2" title="geda:hse_howto.fr">Hooks/Scheme Extension HOWTO</a></div>
   </li>
  @@ -87,7 +87,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [274-620] -->
  +<!-- SECTION [274-634] -->
   <h2><a name="gnetlist_-_netlister" id="gnetlist_-_netlister">gnetlist - Netlister</a></h2>
   <div class="level2">
   <ul>
  @@ -124,7 +124,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [621-1493] -->
  +<!-- SECTION [635-1507] -->
   <h2><a name="gsymcheck_-_symbol_checker" id="gsymcheck_-_symbol_checker">gsymcheck - Symbol Checker</a></h2>
   <div class="level2">
   <ul>
  @@ -133,7 +133,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [1494-1578] -->
  +<!-- SECTION [1508-1592] -->
   <h2><a name="utils_-_geda_utilities" id="utils_-_geda_utilities">utils - gEDA Utilities</a></h2>
   <div class="level2">
   <ul>
  @@ -143,7 +143,7 @@
   </li>
   <li class="level1"><div class="li"> <a href="http://geda.seul.org/wiki/geda:tragesym_readme"; class="wikilink1" title="geda:tragesym_readme">tragesym (symbol generator) README</a></div>
   </li>
  -<li class="level1"><div class="li"> <a href="http://www.geda.seul.org/docs/current/tutorials/tragesym/tragesym.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/tutorials/tragesym/tragesym.html";  rel="nofollow">tragesym Tutorial</a></div>
  +<li class="level1"><div class="li"> <a href="001geda_tragesym_tutorial.html" class="wikilink1" title="geda:tragesym_tutorial.fr">Tutoriel tragesym.fr</a></div>
   </li>
   <li class="level1"><div class="li"> <a href="http://geda.seul.org/wiki/geda:olib_readme"; class="wikilink1" title="geda:olib_readme">olib (OrCAD (TM) converter) README</a></div>
   </li>
  @@ -154,7 +154,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [1579-2087] -->
  +<!-- SECTION [1593-2059] -->
   <h2><a name="examples" id="examples">Examples</a></h2>
   <div class="level2">
   <ul>
  @@ -165,7 +165,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [2088-2237] -->
  +<!-- SECTION [2060-2209] -->
   <h2><a name="attribute_file_format_details" id="attribute_file_format_details">Attribute/File Format Details</a></h2>
   <div class="level2">
   <ul>
  @@ -176,7 +176,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [2238-2403] -->
  +<!-- SECTION [2210-2375] -->
   <h1><a name="spice" id="spice">SPICE</a></h1>
   <div class="level1">
   <ul>
  @@ -201,7 +201,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [2404-3153] -->
  +<!-- SECTION [2376-3125] -->
   <h1><a name="ngspice" id="ngspice">ngspice</a></h1>
   <div class="level1">
   <ul>
  @@ -217,7 +217,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [3154-3576] -->
  +<!-- SECTION [3126-3548] -->
   <h1><a name="gnucap" id="gnucap">gnucap</a></h1>
   <div class="level1">
   <ul>
  @@ -228,7 +228,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [3577-3837] -->
  +<!-- SECTION [3549-3809] -->
   <h1><a name="gspiceui" id="gspiceui">gSpiceUI</a></h1>
   <div class="level1">
   <ul>
  @@ -237,7 +237,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [3838-3945] -->
  +<!-- SECTION [3810-3917] -->
   <h1><a name="pcb" id="pcb">PCB</a></h1>
   <div class="level1">
   <ul>
  @@ -254,7 +254,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [3946-4262] -->
  +<!-- SECTION [3918-4234] -->
   <h1><a name="gerbv" id="gerbv">gerbv</a></h1>
   <div class="level1">
   <ul>
  @@ -265,7 +265,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [4263-4408] -->
  +<!-- SECTION [4235-4380] -->
   <h1><a name="icarus_verilog" id="icarus_verilog">Icarus Verilog</a></h1>
   <div class="level1">
   <ul>
  @@ -302,7 +302,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [4409-5334] -->
  +<!-- SECTION [4381-5306] -->
   <h1><a name="gtkwave" id="gtkwave">GTKWave</a></h1>
   <div class="level1">
   <ul>
  @@ -336,7 +336,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [5335-6366] -->
  +<!-- SECTION [5307-6338] -->
   <h1><a name="wcalc" id="wcalc">Wcalc</a></h1>
   <div class="level1">
   <ul>
  @@ -349,7 +349,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [6367-6513] -->
  +<!-- SECTION [6339-6485] -->
   <h1><a name="mcalc" id="mcalc">mcalc</a></h1>
   <div class="level1">
   <ul>
  @@ -360,7 +360,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [6514-6693] -->
  +<!-- SECTION [6486-6665] -->
   <h1><a name="covered" id="covered">covered</a></h1>
   <div class="level1">
   <ul>
  @@ -373,6 +373,6 @@
   </ul>
   
   </div>
  -<!-- SECTION [6694-] --></div>
  +<!-- SECTION [6666-] --></div>
   </body>
   </html>
  
  
  
  1.1.6.1   +224 -1    eda/geda/gaf/docs/wiki/001geda_faq-attribs.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_faq-attribs.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_faq-attribs.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- 001geda_faq-attribs.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_faq-attribs.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,12 +12,235 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq-attribs.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq-attribs.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-12-12T17:05:34-0500" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +<div class="toc">
  +<div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  +<div id="toc__inside">
  +
  +<ul class="toc">
  +<li class="level1"><div class="li"><span class="li"><a href="#generation_de_bom_bill_of_materials" class="toc">Génération de BOM (Bill Of Materials)</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#j_ai_cree_un_nouveau_dessin._comment_je_cree_une_bom" class="toc">J'ai créé un nouveau dessin. Comment je crée une BOM?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#drc" class="toc">DRC</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_controle_mes_schemas" class="toc">Comment je contrôle mes schémas?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_peux_voir_la_sortie_de_drc_a_l_ecran_sans_l_ecrire_dans_un_fichier" class="toc">Comment je peux voir la sortie de DRC à l'écran, sans l'écrire dans un fichier?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#je_veux_desactiver_quelque_uns_des_controles_de_drc_du_schema._comment_faire" class="toc">Je veux désactiver quelque uns des contrôles de DRC du schéma. Comment faire?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#puis-je_inclure_le_controle_de_drc_dans_un_makefile_et_stopper_lorsque_des_erreurs_ou_des_avertissements_sont_trouves" class="toc">Puis-je inclure le contrôle de DRC dans un Makefile et stopper lorsque des erreurs ou des avertissements sont trouvés?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#il_y_a_quelques_avertissements_sur_mon_schema_que_je_connais._puis-je_les_ignorer_dans_la_return_value" class="toc">Il y a quelques avertissements sur mon schéma que je connais. Puis-je les ignorer dans la return value?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#gestion_d_attribut" class="toc">Gestion d'attribut</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#au_secours_mon_schema_a_des_centaines_de_composants_et_c_est_penible_d_utiliser_gschem_pour_attacher_tous_mes_attributs" class="toc">Au secours! Mon schéma a des centaines de composants et c'est pénible d'utiliser gschem pour attacher tous mes attributs!</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_savoir_que_nom_d_empreinte_utiliser_pour_le_placement_avec_pcb" class="toc">Comment savoir que nom d'empreinte utiliser pour le placement avec PCB?</a></span></div></li></ul>
  +</li></ul>
   </div>
  +</div>
  +
  +<h1><a name="generation_de_bom_bill_of_materials" id="generation_de_bom_bill_of_materials">Génération de BOM (Bill Of Materials)</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [1-55] -->
  +<h2><a name="j_ai_cree_un_nouveau_dessin._comment_je_cree_une_bom" id="j_ai_cree_un_nouveau_dessin._comment_je_cree_une_bom">J'ai créé un nouveau dessin. Comment je crée une BOM?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Il existe plusieurs manières d&rsquo;exporter une BOM depuis votre dessin car il existe des extensions de gEDA. En fait, il existe 5 ou 6 interfaces différentes à gnetlist qui vous permet d&rsquo;exporter une BOM. C&rsquo;est la raison pour laquelle, il est facile pour le débutant d&rsquo;être perturbé sur l&rsquo;approche à utiliser. Une raison, bonne simple et raisonnablemant complète est celle-ci:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Créer un fichier appelé «·attribs·» dans votre répertoire de projet. Dans ce fichier, placez chaque attribut dont vous voulez exporter les valeurs sur une ligne séparée. Vous avez un exemple ici:<pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">value
  +Mfr
  +Mfr_PN
  +Vendor
  +Vendor_PN</font></pre></div>
  +</li>
  +<li class="level1"><div class="li"> Netlistez votre schéma en utilisant la commande suivante:<br/>
  + <br/>
  + <code>gnetlist -v -g bom2 -o MyDesign.bom MyDesign.sch</code> <br/>
  + <br/>
  + Notez que le drapeau <strong><code>-v</code></strong> fournira une sortie bavarde, vous indiquant ce qui entre dans gnetlist lors de son lancement. Cela peut être utile si vous avez besoin de diagnostiquer un problème avec le netlisting.</div>
  +</li>
  +<li class="level1"><div class="li"> Avec cette commande, gnetlist sauvegardera une BOM dans le ficheir «·MyDesign.bom·». Les éléments de la BOM seront séparés en utilisant un caractère «·:·» (deux points). Vous pouvez alors lire ce fichier avec un tableur. Assurez-vous d&rsquo;importer la BOM comme un fichier .csv et spécifiez le caractère  «·:·» comme séparateur.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Plusieurs autres méthodes pour créer des BOM existent. Peut-être que d&rsquo;autres geda-users posteront leur méthode favorite ici?!?!?
  +</p>
  +
  +</div>
  +<!-- SECTION [56-1593] -->
  +<h1><a name="drc" id="drc">DRC</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [1594-1611] -->
  +<h2><a name="comment_je_controle_mes_schemas" id="comment_je_controle_mes_schemas">Comment je contrôle mes schémas?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Vous pouvez contrôler votre schéma en utilisant le programme de gnetlist drc2. Il vérifiera votre schéma pour les erreurs les plus habituelles, comme la duplication des références, les broches non connectées, les slots inutilisés et bien plus.
  +</p>
  +
  +<p>
  +Lancez le programme drc2 avec la commande suivante: 
  +</p>
  +<pre class="code">gnetlist -g drc2 -o MyDesign.drc MyDesign.sch</pre>
  +
  +<p>
  +Avec cette commande, la sortie DRC est écrite dans le ficheir «·MyDesign.drc·». Vous pouvez alors voir ce fichier avec un éditeur de texte et visualiser les avertissements et erreurs de DRC.
  +</p>
  +
  +</div>
  +<!-- SECTION [1612-2221] -->
  +<h2><a name="comment_je_peux_voir_la_sortie_de_drc_a_l_ecran_sans_l_ecrire_dans_un_fichier" id="comment_je_peux_voir_la_sortie_de_drc_a_l_ecran_sans_l_ecrire_dans_un_fichier">Comment je peux voir la sortie de DRC à l'écran, sans l'écrire dans un fichier?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Lancez drc2 avec la commande suivante: 
  +</p>
  +<pre class="code">gnetlist -g drc2 -o - MyDesign.sch</pre>
  +
  +<p>
  +De cette manière, vous verrez la sortie DRC directement sur votre écran.
  +</p>
  +
  +</div>
  +<!-- SECTION [2222-2480] -->
  +<h2><a name="je_veux_desactiver_quelque_uns_des_controles_de_drc_du_schema._comment_faire" id="je_veux_desactiver_quelque_uns_des_controles_de_drc_du_schema._comment_faire">Je veux désactiver quelque uns des contrôles de DRC du schéma. Comment faire?</a></h2>
  +<div class="level2">
  +
  +<p>
  +drc2 est hautement configurable. Vous devez placer quelques commandes spéciales dans un fichier et utiliser l&rsquo;option «·-l·» de gnetlist.
  +</p>
  +
  +<p>
  +Les commandes les plus habituelles sont:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> (define dont-check-non-numbered-parts 1) ;; Disable the non-numbered parts check</div>
  +</li>
  +<li class="level1"><div class="li"> (define dont-check-duplicated-references 1) ;; Disable the duplicate references check</div>
  +</li>
  +<li class="level1"><div class="li"> (define dont-check-one-connection-nets 1) ;; Disable the check for nets with only one connection.</div>
  +</li>
  +<li class="level1"><div class="li"> (define dont-check-pintypes-of-nets 1) ;; Disable the pintype check</div>
  +</li>
  +<li class="level1"><div class="li"> (define dont-check-not-driven-nets 1) ;; Disable the driven net check</div>
  +</li>
  +<li class="level1"><div class="li"> (define dont-check-unconnected-pins 1) ;; Disable the unconnected pins check</div>
  +</li>
  +<li class="level1"><div class="li"> (define dont-check-duplicated-slots 1) ;; Disable the duplicated slots check</div>
  +</li>
  +<li class="level1"><div class="li"> (define dont-check-unused-slots 1) ;; Disable the unused slots check</div>
  +</li>
  +<li class="level1"><div class="li"> (define dont-check-slots 1) ;; Disable slot number check</div>
  +</li>
  +<li class="level1"><div class="li"> (define action-unused-slots #\w) ;; Output an unused slots as a warning</div>
  +</li>
  +<li class="level1"><div class="li"> (define action-unused-slots #\e) ;; Output an unused slots as an error</div>
  +</li>
  +<li class="level1"><div class="li"> (define action-unused-slots #\c) ;; An unused slot is OK.</div>
  +</li>
  +<li class="level1"><div class="li"> (define case_insensitive 1) ;; Do all checks case insensitive</div>
  +</li>
  +</ul>
  +
  +<p>
  + Il y a d&rsquo;autres commandes avancées pour modifier la matrice DRC et le type de broche qui peut piloter un  net. Voyez le fichier «·gnet-drc2.scm·» avec un éditeur de texte. Au début, vous y avez la documentation de disponible.
  +</p>
  +
  +<p>
  +Copiez les lignes ci-dessus que vous voulez dans un fichier (par exemple «·drc_rules.txt·»), une par ligne etlancez le contrôleur de drc: 
  +</p>
  +<pre class="code">gnetlist -g drc2 -l drc_rules.txt -o MyDesign.drc MyDesign.sch</pre>
  +
  +<p>
  +Avec cette commande, la sortie de DRC est écrite dans un ficheir «·MyDesign.drc·». Vous pouvez alors visualiser ce fichier avec un éditeur de texte et voir les avertissements et les erreurs de DRC.
  +</p>
  +
  +</div>
  +<!-- SECTION [2481-4415] -->
  +<h2><a name="puis-je_inclure_le_controle_de_drc_dans_un_makefile_et_stopper_lorsque_des_erreurs_ou_des_avertissements_sont_trouves" id="puis-je_inclure_le_controle_de_drc_dans_un_makefile_et_stopper_lorsque_des_erreurs_ou_des_avertissements_sont_trouves">Puis-je inclure le contrôle de DRC dans un Makefile et stopper lorsque des erreurs ou des avertissements sont trouvés?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Oui. drc2 renverra une erreur s&rsquo;il y a des erreurs ou des avertissements, donc vous pouvez ajouter ce qui suit dans votre Makefile: 
  +</p>
  +<pre class="code">$(objects).drc : $(objects).sch
  +          gnetlist -g drc2 $(objects).sch -o $(objects).drc</pre>
  +
  +<p>
  +Si vous devez simuler votre dessin, vous pouvez alors ajouter ce qui suit à votre Makefile: 
  +</p>
  +<pre class="code">$(objects).cir : $(objects).sch $(objects).drc
  +          grep -v ERROR $(objects).drc &gt;/dev/null 2&gt;&amp;1
  +          gnetlist -g spice-sdb $(objects).sch  -o $(objects).cir</pre>
  +
  +<p>
  +Sinon, utilisez l&rsquo;exemple ci-dessus et adaptez-le à votre propre workflow.
  +</p>
  +
  +</div>
  +<!-- SECTION [4416-5138] -->
  +<h2><a name="il_y_a_quelques_avertissements_sur_mon_schema_que_je_connais._puis-je_les_ignorer_dans_la_return_value" id="il_y_a_quelques_avertissements_sur_mon_schema_que_je_connais._puis-je_les_ignorer_dans_la_return_value">Il y a quelques avertissements sur mon schéma que je connais. Puis-je les ignorer dans la return value?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Utilisez l&rsquo;option «·-O ignore-warnings-in-return-value·»: 
  +</p>
  +<pre class="code">gnetlist -g drc2 -o - MyDesign.sch -O ignore-warnings-in-return-value</pre>
  +
  +<p>
  +Faites attention! Vous allez rater tous les avertissements·!
  +</p>
  +
  +</div>
  +<!-- SECTION [5139-5464] -->
  +<h1><a name="gestion_d_attribut" id="gestion_d_attribut">Gestion d'attribut</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [5465-5498] -->
  +<h2><a name="au_secours_mon_schema_a_des_centaines_de_composants_et_c_est_penible_d_utiliser_gschem_pour_attacher_tous_mes_attributs" id="au_secours_mon_schema_a_des_centaines_de_composants_et_c_est_penible_d_utiliser_gschem_pour_attacher_tous_mes_attributs">Au secours! Mon schéma a des centaines de composants et c'est pénible d'utiliser gschem pour attacher tous mes attributs!</a></h2>
  +<div class="level2">
  +
  +<p>
  +Ici, la réponse est l&rsquo;utilitaire de gEDA/gaf, «·gattrib·». Gattrib est un éditeur d&rsquo;attribut pour gEDA. Il lit votre(s) fichier(s) .sch et crée une feuille de calcul montrant tous les composants, nets et broches en ligne, avec les attributs associés listés dans les colonnes. Gattrib vous permet d&rsquo;ajouter, modifier ou effacer les attributs depuis l&rsquo;extérieur de gschem puis de sauvegarder les fichiers .sch. Vous avez une capture d&rsquo;écran:
  +</p>
  +
  +<p>
  +<a href="_detail/001geda_faq_attrib.html" class="media" title="geda:faq_attrib.jpg"><img src="http://geda.seul.org/wiki/_media/geda:faq_attrib.jpg?w=&h=&cache=cache"; class="media" title="faq_attrib.jpg" alt="faq_attrib.jpg" /></a>
  +</p>
  +
  +<p>
  +Notez que gattrib est la réponse actuelle du Projet gEDA à la question des symboles lourds. Ceci étant, au lieu de mettre tous les attributs (tels que les fichiers de modèle SPICE, les noms d&rsquo;empreintes, les numéros d&rsquo;éléments des fabricants et le reste), vous êtes encouragés à mettre cette information dans votre schéma en utilisant gattrib, où il est visible et facilement manipulable avec gattrib.
  +</p>
  +
  +<p>
  +Lors de l&rsquo;utilisation de gattrib, assurez-vous de d&rsquo;abord quitter gschem. Gattrib et gschem sauvegardent tous les deux le travail dans le même fichier, donc vous ne devez avoir qu&rsquo;un programme fonctionnant au même moment pour éviter les conflits. Il n&rsquo;y a pas (encore) de mécanisme de vérouillage dans gEDA/gaf, cela reste donc de votre responsabilité.
  +</p>
  +
  +</div>
  +<!-- SECTION [5499-6887] -->
  +<h2><a name="comment_savoir_que_nom_d_empreinte_utiliser_pour_le_placement_avec_pcb" id="comment_savoir_que_nom_d_empreinte_utiliser_pour_le_placement_avec_pcb">Comment savoir que nom d'empreinte utiliser pour le placement avec PCB?</a></h2>
  +<div class="level2">
  +
  +<p>
  +La <a href="001geda_pcb_tips.html" class="wikilink1" title="geda:pcb_tips.fr">réponse est ici</a>. 
  +</p>
  +
  +</div>
  +<!-- SECTION [6888-] --></div>
   </body>
   </html>
  
  
  
  1.1.6.1   +90 -1     eda/geda/gaf/docs/wiki/001geda_faq-gnetlist.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_faq-gnetlist.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_faq-gnetlist.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- 001geda_faq-gnetlist.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_faq-gnetlist.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,12 +12,101 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq-gnetlist.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq-gnetlist.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-12-09T14:49:48-0500" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +<div class="toc">
  +<div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  +<div id="toc__inside">
  +
  +<ul class="toc">
  +<li class="level1"><div class="li"><span class="li"><a href="#problemes_avec_gnetlist_lors_de_l_installation_execution" class="toc">Problèmes avec gnetlist lors de l'installation/exécution</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#la_bom_de_gnetlist_ne_fonctionne_pas._d_ou_vient_le_probleme" class="toc">la bom de gnetlist ne fonctionne pas. D'où vient le problème?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#quelques_unes_des_commandes_de_gnetlist_debordent_la_pile._comment_je_resoud_ce_probleme" class="toc">Quelques unes des commandes de gnetlist débordent la pile. Comment je résoud ce problème?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#gnetlist_a_cree_une_netlist_qui_duplique_les_broches" class="toc">gnetlist a créé une netlist qui duplique les broches!?</a></span></div></li></ul>
  +</li></ul>
   </div>
  +</div>
  +
  +<h1><a name="problemes_avec_gnetlist_lors_de_l_installation_execution" id="problemes_avec_gnetlist_lors_de_l_installation_execution">Problèmes avec gnetlist lors de l'installation/exécution</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [1-73] -->
  +<h2><a name="la_bom_de_gnetlist_ne_fonctionne_pas._d_ou_vient_le_probleme" id="la_bom_de_gnetlist_ne_fonctionne_pas._d_ou_vient_le_probleme">la bom de gnetlist ne fonctionne pas. D'où vient le problème?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Si, lorsque vous lancez gnetlist comme ceci: 
  +</p>
  +<pre class="code">gnetlist -g bom filename.sch</pre>
  +
  +<p>
  +gnetlist vous sort un message d&rsquo;erreur tel que: 
  +</p>
  +<pre class="code">Loading schematic [filename.sch]
  +ERROR: In procedure open-file:
  +ERROR: No such file or directory: â??attribsâ??</pre>
  +
  +<p>
  +alors vous avez besoin de créer un fichier appelé «·attribs·» dans le répertoire courant qui contient les attributss que vous voulez dans le fichier de bom. Un exemple de ce fichier ressemblerait à: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">refdes
  +device
  +value</font></pre>
  +</p>
  +
  +</div>
  +<!-- SECTION [74-654] -->
  +<h2><a name="quelques_unes_des_commandes_de_gnetlist_debordent_la_pile._comment_je_resoud_ce_probleme" id="quelques_unes_des_commandes_de_gnetlist_debordent_la_pile._comment_je_resoud_ce_probleme">Quelques unes des commandes de gnetlist débordent la pile. Comment je résoud ce problème?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Si vous avez un message tel que: 
  +</p>
  +<pre class="code">ERROR: Stack overflow</pre>
  +
  +<p>
  +lorsque vous faites passer des schémas de grande taille par une des commandes, ajoutez alors ce qui suit à <strong><code>~/.gEDA/gnetlistrc</code></strong> ou un <strong><code>gnetlistrc</code></strong> local (dans le répertoire de travail courant): 
  +</p>
  +<pre class="code">(debug-options (list 'stack 200000))
  +(eval-options (list 'stack 200000))</pre>
  +
  +<p>
  +Si cela ne fonctionne pas, éditez alors la commande appropriée (habituellement appelée: gnet-backend_name.scm) et insérez les lignes précédentes en haut de ce fichier. Les commandes gnetlist peuvent être trouvées dans <strong><code>${prefix}/share/gEDA/scheme</code></strong>. Envoyez aussi un courriel à geda-dev rappelant aux développeurs de corriger ceci. Rappelez-vous que vous devez être inscrits sur geda-dev avant d&rsquo;y envoyer des courriels.
  +</p>
  +
  +</div>
  +<!-- SECTION [655-1562] -->
  +<h2><a name="gnetlist_a_cree_une_netlist_qui_duplique_les_broches" id="gnetlist_a_cree_une_netlist_qui_duplique_les_broches">gnetlist a créé une netlist qui duplique les broches!?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Il y a eu au moins un rapport sur le message suivant venant de PCB après avoir chargé une netlist créée par gnetlist: 
  +</p>
  +<pre class="code">28: Error! Element R117 pin 2 appears multiple times in the netlist file.
  +29: Error! Element C167 pin 2 appears multiple times in the netlist file.</pre>
  +
  +<p>
  +Ce qui s&rsquo;est produit est que gnetlist (libgeda en fait) a créé deux nets au lieu d&rsquo;un seul. Ceci se produit lorsque vous dessinez deux nets l&rsquo;un sur l&rsquo;autre avec une broche connectant une intersection de deux nets se chevauchants. Notez que les nets chevauchés ne sont pas connectés ensemble. Un schéma pour la démonstration ressemble à ceci:
  +</p>
  +
  +<p>
  +<a href="_detail/001geda_ambiguous1.html" class="media" title="geda:ambiguous1.png"><img src="http://geda.seul.org/wiki/_media/geda:ambiguous1.png?w=&h=&cache=cache"; class="media" alt="" /></a>
  +</p>
  +
  +<p>
  +Les développeurs débattent pour savoir si c&rsquo;est un bogue ou non de gnetlist mais jusqu&rsquo;à maintenant, assurez-vous de vos connexions de nets, spécialement celles qui impliquent des broches connectant des milieu de nets, sont correctement dessinées. Vous avez ici la manière dont la connexion ci-dessus devrait être correctement dessinée pour que netlist fasse son travail proprement:
  +</p>
  +
  +<p>
  +<a href="_detail/001geda_ambiguous1_fixed.html" class="media" title="geda:ambiguous1_fixed.png"><img src="http://geda.seul.org/wiki/_media/geda:ambiguous1_fixed.png?w=&h=&cache=cache"; class="media" alt="" /></a> 
  +</p>
  +
  +</div>
  +<!-- SECTION [1563-] --></div>
   </body>
   </html>
  
  
  
  1.1.6.1   +64 -1     eda/geda/gaf/docs/wiki/001geda_faq-gsch2pcb.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_faq-gsch2pcb.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_faq-gsch2pcb.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- 001geda_faq-gsch2pcb.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_faq-gsch2pcb.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,12 +12,75 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq-gsch2pcb.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq-gsch2pcb.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-12-05T16:22:53-0500" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +<div class="toc">
  +<div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  +<div id="toc__inside">
  +
  +<ul class="toc">
  +<li class="level1"><div class="li"><span class="li"><a href="#gsch2pcb" class="toc">gsch2pcb</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#ou_est_le_tutoriel_gsch2pcb" class="toc">Où est le tutoriel gsch2pcb?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#ou_puis-je_telecharger_gsch2pcb" class="toc">Où puis-je télécharger gsch2pcb?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#gsch2pcb_ne_peut_pas_trouver_quelques_uns_de_mes_empreintes_ou_genere_une_erreur._comment_puis-je_diagnostiquer_mon_probleme" class="toc">gsch2pcb ne peut pas trouver quelques uns de mes empreintes ou génère une erreur. Comment puis-je diagnostiquer mon problème?</a></span></div></li></ul>
  +</li></ul>
   </div>
  +</div>
  +
  +<h1><a name="gsch2pcb" id="gsch2pcb">gsch2pcb</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [1-24] -->
  +<h2><a name="ou_est_le_tutoriel_gsch2pcb" id="ou_est_le_tutoriel_gsch2pcb">Où est le tutoriel gsch2pcb?</a></h2>
  +<div class="level2">
  +
  +<p>
  + Actuellement, la meilleure information à ce propos est dans le <a href="http://geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html"; class="urlextern" title="http://geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html";  rel="nofollow">outstanding tutorial</a> de Bill Wilson. <br/>
  + <span class="hilited">Cette page grossira plus tard pour contenir les réponses aux <acronym title="Frequently Asked Questions">FAQ</acronym> postées sur la liste des geda-user.</span>
  +</p>
  +
  +<p>
  +C&rsquo;est un script de gestion pour gsch2pcb appelé sch2pcb. Vous pouvez y trouver des informations dans la section <a href="001geda_pcb_tips.html" class="wikilink1" title="geda:pcb_tips.fr">pcb_tips.fr</a> de ce wiki.
  +</p>
  +
  +</div>
  +<!-- SECTION [25-492] -->
  +<h2><a name="ou_puis-je_telecharger_gsch2pcb" id="ou_puis-je_telecharger_gsch2pcb">Où puis-je télécharger gsch2pcb?</a></h2>
  +<div class="level2">
  +
  +<p>
  +gsch2pcb est une part de gEDA/gaf, dans les utils. Il est normalement installé par défaut (parmi les autres) si vous installez gEDA/gaf complètement. Si vous ne l&rsquo;avez pas installé sur votre machine vous pouvez soit télécharger le tarball utils depuis:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">http://geda.seul.org/download.html</a></div>
  +</li>
  +</ul>
  +
  +<p>
  + ou le prendre directement depuis le <acronym title="Concurrent Versions System">CVS</acronym> sur:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <a href="http://cvs.seul.org/viewcvs/viewcvs.cgi/eda/geda/gaf/utils/src/"; class="urlextern" title="http://cvs.seul.org/viewcvs/viewcvs.cgi/eda/geda/gaf/utils/src/";  rel="nofollow">http://cvs.seul.org/viewcvs/viewcvs.cgi/eda/geda/gaf/utils/src/</a></div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [493-961] -->
  +<h2><a name="gsch2pcb_ne_peut_pas_trouver_quelques_uns_de_mes_empreintes_ou_genere_une_erreur._comment_puis-je_diagnostiquer_mon_probleme" id="gsch2pcb_ne_peut_pas_trouver_quelques_uns_de_mes_empreintes_ou_genere_une_erreur._comment_puis-je_diagnostiquer_mon_probleme">gsch2pcb ne peut pas trouver quelques uns de mes empreintes ou génère une erreur. Comment puis-je diagnostiquer mon problème?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Tentez de lancer gsch2pcb en mode bavard double: â??gsch2pcb -v -vâ??. Cela produira beaucoup de choses vous indiquant où gsch2pcb cherche lorsqu&rsquo;il tente de trouver les empreintes. Il vous indiquera aussi où il trouve les empreintes en cours d&rsquo;utilisation. 
  +</p>
  +
  +</div>
  +<!-- SECTION [962-] --></div>
   </body>
   </html>
  
  
  
  1.2.6.1   +157 -135  eda/geda/gaf/docs/wiki/001geda_faq-gschem.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_faq-gschem.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_faq-gschem.html,v
  retrieving revision 1.2
  retrieving revision 1.2.6.1
  diff -u -b -r1.2 -r1.2.6.1
  --- 001geda_faq-gschem.html	21 Oct 2006 03:57:46 -0000	1.2
  +++ 001geda_faq-gschem.html	23 Feb 2007 23:32:02 -0000	1.2.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq-gschem.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq-gschem.fr?do=export_raw"; />
  -  <meta name="date" content="2006-10-13T16:37:18-0400" />
  +  <meta name="date" content="2006-12-17T10:49:34-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -30,40 +30,41 @@
   <li class="level2"><div class="li"><span class="li"><a href="#comment_je_change_la_taille_du_texte_sur_un_symbole" class="toc">Comment je change la taille du texte sur un symbole?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#comment_deverouiller_un_composant" class="toc">Comment dévérouiller un composant</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#comment_refdes_peut-il_etre_automatiquement_numerote_lorsque_je_dessine_un_schema" class="toc">Comment refdes peut-il être automatiquement numéroté lorsque je dessine un schéma?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#qu_est-ce_qu_un_bus_et_comment_l_utiliser" class="toc">Qu'est-ce qu'un bus et comment l'utiliser?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#que_sont_les_liaisons_de_touches_dans_gschem" class="toc">Que sont les Liaisons de Touches dans gschem?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#quelles_sont_les_liaisons_avec_la_souris_dans_gschem" class="toc">Quelles sont les liaisons avec la souris dans gschem?</a></span></div></li>
   </ul>
   </li>
   <li class="level1"><div class="li"><span class="li"><a href="#symboles_gschem" class="toc">Symboles gschem</a></span></div>
   <ul class="toc">
  -<li class="level2"><div class="li"><span class="li"><a href="#what_s_this_business_about_heavy_vs._light_symbols" class="toc">What's this business about heavy vs. light symbols?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid" class="toc">I am using a symbol out of the library.  How come it's not aligned to the grid?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection" class="toc">Is there an explicit &quot;no connect&quot; symbol that I can/should place in the schematic to prevent gnetlist from thinking I've forgotten a connection?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic" class="toc">How do I promote an invisible symbol attribute into the schematic?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit" class="toc">What should I do about power pins on my symbols: Make them visible (explicit) or invisible (implicit)?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it" class="toc">Is there a specification or manual for creating gschem symbols? Where is it?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#quel_est_l_objet_de_cette_polemique_entre_les_symboles_lourds_et_legers" class="toc">Quel est l'objet de cette polémique entre les symboles lourds et légers?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#j_utilise_un_symbole_exterieur_a_la_bibliotheque._comment_se_fait-il_qu_il_ne_soit_pas_aligne_sur_la_grille" class="toc">J'utilise un symbole extérieur à la bibliothèque. Comment se fait-il qu'il ne soit pas aligné sur la grille?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#existe-t-il_un_symbole_explicitement_non_connecte_que_je_pourrais_devrais_placer_dans_le_schema_pour_empecher_gnetlist_de_penser_que_j_ai_oublie_une_connexion" class="toc">Existe-t-il un symbole explicitement « non connecté » que je pourrais/devrais placer dans le schéma pour empêcher gnetlist de penser que j'ai oublié une connexion?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_placer_un_un_attribut_de_symbole_invisible_dans_un_schema" class="toc">Comment placer un un attribut de symbole invisible dans un schéma?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#que_dois-je_faire_a_propos_des_broches_d_alimentation_sur_mon_symboleles_rendre_visible_explicites_ou_invisibles_implicites" class="toc">Que dois-je faire à propos des broches d'alimentation sur mon symbole: Les rendre visible (explicites) ou invisibles (implicites)?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#existe-t-il_une_specification_ou_un_manuel_pour_creer_les_symboles_gschem_ou_est-il" class="toc">Existe-t-il une spécification ou un manuel pour créer les symboles gschem? Où est-il?</a></span></div></li>
   </ul>
   </li>
  -<li class="level1"><div class="li"><span class="li"><a href="#gschem_configuration_customization" class="toc">Gschem configuration/customization</a></span></div>
  +<li class="level1"><div class="li"><span class="li"><a href="#configuration_personnalisation_de_gschem" class="toc">Configuration/personnalisation de gschem</a></span></div>
   <ul class="toc">
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_change_the_default_size_of_floating_text" class="toc">How can I change the default size of floating text?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_have_a_different_background_color_other_than_black" class="toc">How can I have a different background color other than black?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_changer_la_taille_par_defaut_d_un_texte_flottant" class="toc">Comment changer la taille par défaut d'un texte flottant?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_peux_avoir_une_couleur_fond_differente" class="toc">Comment je peux avoir une couleur fond différente?</a></span></div></li>
   </ul>
   </li>
  -<li class="level1"><div class="li"><span class="li"><a href="#printing_output" class="toc">Printing/Output</a></span></div>
  +<li class="level1"><div class="li"><span class="li"><a href="#impression_sortie" class="toc">Impression/Sortie</a></span></div>
   <ul class="toc">
  -<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_print_schematics_from_the_command_line" class="toc">How do I print schematics from the command line?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_get_color_postscript_png_output" class="toc">How can I get color postscript/PNG output?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_get_black_and_white_postscript_png_output" class="toc">How can I get black and white postscript/PNG output?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_insert_schematics_into_my_latex_document" class="toc">How can I insert schematics into my LaTex document?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_split_postscript_output_over_multiple_pages" class="toc">How can I split postscript output over multiple pages?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_imprimer_les_schemas_depuis_le_ligne_de_commande" class="toc">Comment imprimer les schémas depuis le ligne de commande?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_peux_sortie_le_postscript_png_en_couleurs" class="toc">Comment je peux sortie le postscript/PNG en couleurs?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_peux_avoir_une_sortie_postscript_png_en_noir_et_blanc" class="toc">Comment je peux avoir une sortie postscript/PNG en noir et blanc?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_j_insere_des_schemas_dans_mon_document_latex" class="toc">Comment j'insère des schémas dans mon document LaTex?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_scinde_un_fichier_postscript_en_plusieurs_pages" class="toc">Comment je scinde un fichier postscript en plusieurs pages?</a></span></div></li>
   </ul>
   </li>
  -<li class="level1"><div class="li"><span class="li"><a href="#gschem_installation_run-time_problems" class="toc">Gschem installation/run-time problems</a></span></div>
  +<li class="level1"><div class="li"><span class="li"><a href="#problemes_d_installation_d_execution_de_gschem" class="toc">Problèmes d'installation/d'exécution de gschem</a></span></div>
   <ul class="toc">
  -<li class="level2"><div class="li"><span class="li"><a href="#gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around" class="toc">Gschem segfaults when I delete components on FC5 (and other Linux distributions)! Is there a work-around?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#after_installation_gschem_does_not_work_what_could_be_wrong" class="toc">After installation gschem does not work!? What could be wrong?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#add_components_offers_no_symbols_what_can_i_do_about_it" class="toc">&quot;Add Components&quot; offers no symbols! What can I do about it?</a></span></div></li></ul>
  +<li class="level2"><div class="li"><span class="li"><a href="#gschem_segfaults_lorsque_j_efface_des_composants_sur_fc5_et_sur_d_autres_distributions_linux_existe-t-il_une_amelioration" class="toc">gschem segfaults lorsque j'efface des composants sur FC5 (et sur d'autres distributions Linux)! Existe-t-il une amélioration?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#apres_l_installation_gschem_ne_fonctionne_pas_que_ce_qui_a_pu_aller_mal" class="toc">Après l'installation, gschem ne fonctionne pas!? Que ce qui a pu aller mal?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#ajouter_des_composants_ne_proposent_aucun_symboles_que_puis-je_y_faire" class="toc">&quot;Ajouter des Composants&quot; ne proposent aucun symboles! Que puis-je y faire?</a></span></div></li></ul>
   </li></ul>
   </div>
   </div>
  @@ -77,7 +78,21 @@
   <div class="level2">
   
   <p>
  -Les nouveaux avec une longue expérience de Windows posent souvent cette question. Vous avez trois manières de déplacer facilement des composants avec gschem:
  +Ce n&rsquo;est plus un problème·; déplacer un composant peut être réalisé en utilisant le bouton gauche de la souris &ndash; la méthode haituelle des utilisateurs de Windows. pour les vrsions égales ou plus récentes que  20060824, faites cecido this:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Sélectionnez le composant en cliquant dessus avec le bouton gauche de la souris. Le composant sera mis en valeur.</div>
  +</li>
  +<li class="level1"><div class="li"> Tirez le composant (en utilisant le bouton gauche de la souris) pour le placer où vous le voulez.</div>
  +</li>
  +</ol>
  +
  +<p>
  + C&rsquo;est probablement ce que vous avez l&rsquo;habitude de faire.
  +</p>
  +
  +<p>
  +Pour les personnes avec d&rsquo;anciennes versions de gschem, vous avez ici trois voies pour déplacer facilement les composants avec gschem:
   </p>
   
   <p>
  @@ -106,20 +121,12 @@
   Trois:
   </p>
   <ol>
  -<li class="level1"><div class="li"> Sélectionnez le composant en cliquant dessus avec le bouton gauche de la souris. Le composant sera mis en valeur.</div>
  -</li>
  -<li class="level1"><div class="li"> Cliquez sur le bouton droit de la souris. Un menu déroulant apparaîtra.</div>
  -</li>
  -<li class="level1"><div class="li"> Avec le bouton gauche de la souris, cliquez sur l&rsquo;option « move » du menu déroulant.</div>
  -</li>
  -<li class="level1"><div class="li"> Cliquez sur le composant avec le bouton gauche de souris. Le composant suivra maintenant le curseur où vous le déplacez.</div>
  -</li>
   <li class="level1"><div class="li"> Pour placer le composant, cliquez sur le bouton gauche de souris. Le composant restera là où vous l&rsquo;avez placé.</div>
   </li>
   </ol>
   
   </div>
  -<!-- SECTION [32-1358] -->
  +<!-- SECTION [32-1447] -->
   <h2><a name="comment_je_change_la_taille_du_texte_sur_un_symbole" id="comment_je_change_la_taille_du_texte_sur_un_symbole">Comment je change la taille du texte sur un symbole?</a></h2>
   <div class="level2">
   <ol>
  @@ -148,7 +155,7 @@
   </p>
   
   </div>
  -<!-- SECTION [1359-2316] -->
  +<!-- SECTION [1448-2405] -->
   <h2><a name="comment_deverouiller_un_composant" id="comment_deverouiller_un_composant">Comment dévérouiller un composant</a></h2>
   <div class="level2">
   
  @@ -157,28 +164,43 @@
   </p>
   
   </div>
  -<!-- SECTION [2317-2843] -->
  +<!-- SECTION [2406-2932] -->
   <h2><a name="comment_refdes_peut-il_etre_automatiquement_numerote_lorsque_je_dessine_un_schema" id="comment_refdes_peut-il_etre_automatiquement_numerote_lorsque_je_dessine_un_schema">Comment refdes peut-il être automatiquement numéroté lorsque je dessine un schéma?</a></h2>
   <div class="level2">
   
   <p>
   �ditez le fichier system-gschemrc ou placez ce qui suit dans le fichier gschemrc (soit <strong><code>~/.gEDA/gschemrc</code></strong> ou un fichier <strong><code>gschemrc</code></strong> dans le répertoire local d&rsquo;où vous invoquez gschem): 
   </p>
  -<pre class="code">(load &quot;$YOUR_INSTALL_PATH/share/gEDA/scheme/auto-uref.scm&quot;)  ; load the autonumbering script
  +<pre class="code">
  +(load (string-append gedadata &quot;/scheme/auto-uref.scm&quot;)) ; load the autonumbering script
   (add-hook! add-component-hook auto-uref)                     ; autonumber when adding a component
  -(add-hook! copy-component-hook auto-uref)                    ; autonumber when copying a component</pre>
  +(add-hook! copy-component-hook auto-uref)      ; autonumber when copying a component
  +</pre>
   
   <p>
   Veuillez substituer $YOUR_INSTALL_PATH par le chemin d&rsquo;installation de geda.
   </p>
   
   </div>
  -<!-- SECTION [2844-3523] -->
  +<!-- SECTION [2933-3581] -->
  +<h2><a name="qu_est-ce_qu_un_bus_et_comment_l_utiliser" id="qu_est-ce_qu_un_bus_et_comment_l_utiliser">Qu'est-ce qu'un bus et comment l'utiliser?</a></h2>
  +<div class="level2">
  +
  +<p>
  + Un bus est purement décoratif. Le netlister l&rsquo;ignore. L&rsquo;attribut netname= ne fonctionne pas pour connecter les points ensemble â?? c&rsquo;est ce que gnetlist lit et transforme dans votre netlist. Il n&rsquo;est pas possible de connecter une ligne discrète ou « accrochée à un bus » car, encore une fois, le bus est plus une entité graphique.
  +</p>
  +
  +<p>
  +Quelques utilisateurs ont trouvé utile d&rsquo;organiser leurs réseaux en incorporant un nom de bus dans le nom de net. Par exemple, « net=busA:1 » peut être ajouté à chaque busripper pour aider le tri des nets.
  +</p>
  +
  +</div>
  +<!-- SECTION [3582-4190] -->
   <h2><a name="que_sont_les_liaisons_de_touches_dans_gschem" id="que_sont_les_liaisons_de_touches_dans_gschem">Que sont les Liaisons de Touches dans gschem?</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [3524-3582] -->
  +<!-- SECTION [4191-4249] -->
   <h2><a name="quelles_sont_les_liaisons_avec_la_souris_dans_gschem" id="quelles_sont_les_liaisons_avec_la_souris_dans_gschem">Quelles sont les liaisons avec la souris dans gschem?</a></h2>
   <div class="level2">
   
  @@ -224,25 +246,25 @@
   </p>
   
   </div>
  -<!-- SECTION [3583-4787] -->
  +<!-- SECTION [4250-5454] -->
   <h1><a name="symboles_gschem" id="symboles_gschem">Symboles gschem</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [4788-4818] -->
  -<h2><a name="what_s_this_business_about_heavy_vs._light_symbols" id="what_s_this_business_about_heavy_vs._light_symbols">What's this business about heavy vs. light symbols?</a></h2>
  +<!-- SECTION [5455-5485] -->
  +<h2><a name="quel_est_l_objet_de_cette_polemique_entre_les_symboles_lourds_et_legers" id="quel_est_l_objet_de_cette_polemique_entre_les_symboles_lourds_et_legers">Quel est l'objet de cette polémique entre les symboles lourds et légers?</a></h2>
   <div class="level2">
   
   <p>
  -This nomenclature arose from a discussion which frequently appears on the geda-user and geda-dev mailing lists. A light symbol is one which contains very few built-in attributes in the symbol itself. It requires that the user attach almost all attributes at the schematic level (e.g. using either gschem or gattrib). A heavy symbol is one which contains many attributes (such as package footprints, SPICE model names, etc.) built into the symbol file itself. A heavy symbol therefore requires very little attribute attachment at the schematic level â?? you just place it and youâ??re done.
  +Cette nomenclature est apparue d&rsquo;une discussion qui apparaît fréquement sur les listes de diffusion geda-user et geda-dev. Un symbole léger contient peu d&rsquo;attributs intégrés dans le symbole lui-même. Il nécessite que l&rsquo;utilisateur attache presque tous les attributs au niveau du schéma (i.e. en utilisant soit gschem, soit gattrib). Un symbole lourd contient plusieurs attributs (tels qu&rsquo;une empreinte de boîtier, des noms de modèle SPICE, etc.) intégrés dans le fichier symbole lui-même. Un symbole lourd nécessite donc des attachements d&rsquo;attributs très légers au niveau du schéma â?? vous n&rsquo;avez qu&rsquo;à le placer et c&rsquo;est fait.
   </p>
   
   <p>
  -The debate between proponents of heavy and light symbols is very detailed and involved. In caricature, proponents of heavy symbols belive that they provide better integration between gschem and PCB since the important layout attributes (such as <a href="http://geda.seul.org/wiki/geda:pcb_tips#i_want_to_use_pcb_to_do_layout._how_do_i_know_what_value_to_use_for_the_footprint_attribute"; class="wikilink1" title="geda:pcb_tips">footprint name</a>) are already built into the symbol. This is considered a good thing for new users (noobs) who just want to design a simple board and donâ??t appreciate or donâ??t care about the zillions of variations that even a simple resistor might have (e.g. different footprint, TCR, precision, material composition, etc). Proponents of light symbols prefer to deal with attributes at the schematic level because they believe it to be more flexible. They are quick to point out that a library of heavy symbols will quickly grow into the thousands of parts with grotesquely long names trying to distinguish between the different variations of the part. They also point out that the utility &ldquo;gattribâ?? is the preferred tool for dealing with attributes at the schematic level (i.e. in the .sch file).
  +Le débat entre les adversaires des symboles lourds et légers est très détaillé et pointu. En caricaturant, les avocats des symboles lourds croient que cela fournit une meilleure intégration entre gschem et PCB avec l&rsquo;importance des attrituts de placement (tels que <a href="http://geda.seul.org/wiki/geda:pcb_tips#i_want_to_use_pcb_to_do_layout._how_do_i_know_what_value_to_use_for_the_footprint_attribute"; class="wikilink1" title="geda:pcb_tips">footprint name</a>) déjà créés dans le symbole. Ceci est considéré comme une bonne chose pour les nouveaux utilisateurs (noobs) qui veulent simplement concevoir une simple carte et n&rsquo;apprécient pas ou n&rsquo;ont que faire des zillions de variations qu&rsquo;une simple résistance peut avoir (i.e. empreintes différentes, TCR, précision, composition physique, etc). Les avocats des symboles légers préfère traiter les attributs au niveau du schéma car ils pensent que c&rsquo;est plus flexible. Ils font rapidement remarquer que une bibliothèque de symboles lourds s&rsquo;accroîtra rapidement en des milliers de morceaux avec des noms grotesquement longs en tentant de distinguer entre les différentes variations d&rsquo;un composant. Ils soulignent aussi que l&rsquo;utilitaire « gattrib » est l&rsquo;outil préféré pour traiter les attributs au niveau du schéma (i.e. dans le fichier .sch).
   </p>
   
   <p>
  -GEDA/gaf, as default configured, uses light symbols, although it can be configured to use heavy symbols. For further information, you may read these dicussions from the geda-user mailing list:
  +GEDA/gaf, configuré par défaut, utilise des symboles légers, bien qu&rsquo;il puisse être configuré pour utiliser des symboles lourds. Pour plus d&rsquo;informations, vous pouvez lire ces dicussions sur la liste de diffusion geda-user:
   </p>
   
   <p>
  @@ -250,124 +272,124 @@
   </p>
   
   </div>
  -<!-- SECTION [4819-6949] -->
  -<h2><a name="i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid" id="i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid">I am using a symbol out of the library.  How come it's not aligned to the grid?</a></h2>
  +<!-- SECTION [5486-7826] -->
  +<h2><a name="j_utilise_un_symbole_exterieur_a_la_bibliotheque._comment_se_fait-il_qu_il_ne_soit_pas_aligne_sur_la_grille" id="j_utilise_un_symbole_exterieur_a_la_bibliotheque._comment_se_fait-il_qu_il_ne_soit_pas_aligne_sur_la_grille">J'utilise un symbole extérieur à la bibliothèque. Comment se fait-il qu'il ne soit pas aligné sur la grille?</a></h2>
   <div class="level2">
   
   <p>
  -The symbols in the symbol library, like those available at the  <a href="http://www.gedasymbols.org/"; class="urlextern" title="http://www.gedasymbols.org";  rel="nofollow"> gedasymbols </a> website are contributed by users just like you.  Some people use different grid settings than other people (e.g. 50mil vs. 100mil).   If you discover a symbol which seems to be off the grid, try reducing your grid spacing, move the symbol so that it sits on <strong>your</strong> grid, then revert to your preferred grid settings.
  +Les symboles dans la bibliothèque, comme ceux disponibles sur le site web  <a href="http://www.gedasymbols.org/"; class="urlextern" title="http://www.gedasymbols.org";  rel="nofollow"> gedasymbols </a> sont fournis par des utilisateurs comme vous. Quelques personnes utilisent des initialisations de grille différentes des autres (i.e. 50mil vs. 100mil). Si vous découvrez un symbole  qui semble être hors de la grille, tentez de réduire votre espacement de grille, déplacez le symbole de telle manière qu&rsquo;il s&rsquo;adapte à « votre » propre grille, puis revenez à votre grille initiale.
   </p>
   
   <p>
  -Yes, the gEDA docs suggest that you use a 100mil grid spacing.  But everybody likes to do things their own way, and there is no overall symbol dictator to enforce the rules on contributed symbols.  Therefore, you just need to be aware of this possibility.
  +Oui, les docs gEDA suggèrent que vous utilisiez un espacement de grille de 100mil. Mais tout le monde aime faire les choses à sa propre manière et il n&rsquo;existe pas de diktat pour pour imposer les règles sur les symboles rendus disponibles. Vous devez donc être au courant de cette possibilité.
   </p>
   
   </div>
  -<!-- SECTION [6950-7727] -->
  -<h2><a name="is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection" id="is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection">Is there an explicit &quot;no connect&quot; symbol that I can/should place in the schematic to prevent gnetlist from thinking I've forgotten a connection?</a></h2>
  +<!-- SECTION [7827-8765] -->
  +<h2><a name="existe-t-il_un_symbole_explicitement_non_connecte_que_je_pourrais_devrais_placer_dans_le_schema_pour_empecher_gnetlist_de_penser_que_j_ai_oublie_une_connexion" id="existe-t-il_un_symbole_explicitement_non_connecte_que_je_pourrais_devrais_placer_dans_le_schema_pour_empecher_gnetlist_de_penser_que_j_ai_oublie_une_connexion">Existe-t-il un symbole explicitement « non connecté » que je pourrais/devrais placer dans le schéma pour empêcher gnetlist de penser que j'ai oublié une connexion?</a></h2>
   <div class="level2">
   
   <p>
  -Answer: misc â?? nc-left, nc-right, nc-top, nc-bottom.
  +Réponse: misc â?? nc-left, nc-right, nc-top, nc-bottom.
   </p>
   
   <p>
  -Caution: occassionally this may create a net called â??no_connectâ?? (or â??NC??&rdquo;) which may lead to no-connect pins being connected together in gnetlist â?? which you probably _donâ??t_ want to happen.
  +Attention: occassionnellement, cela peut créer une liaison appelée « no_connect » (ou « NC?? ») qui peut mener à des broches connectées ensembles dans gnetlist â?? ce que vous _ne souhaitez probablement pas_.
   </p>
   
   <p>
  -If you want an entire symbol to be graphical (no elec. connections) , add a &ldquo;graphical=1&rdquo; attribute.  The netlister will ignore these symbols entirely.
  +Si vous voulez un symbole graphiquement complet (sans connexions électriques), ajoutez un attribut &ldquo;graphical=1&rdquo;. Le netlister ignorera entièrement ces symboles.
   </p>
   
   </div>
  -<!-- SECTION [7728-8297] -->
  -<h2><a name="how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic" id="how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic">How do I promote an invisible symbol attribute into the schematic?</a></h2>
  +<!-- SECTION [8766-9388] -->
  +<h2><a name="comment_placer_un_un_attribut_de_symbole_invisible_dans_un_schema" id="comment_placer_un_un_attribut_de_symbole_invisible_dans_un_schema">Comment placer un un attribut de symbole invisible dans un schéma?</a></h2>
   <div class="level2">
   
   <p>
  -Most attributes living in the symbol do not get promoted to the schematic unless they are visible. To promote invisible symbol attributes, look for the following keywords in the system-gschemrc file: 
  +La plupart des symboles d&rsquo;un symbole ne sont pas placés sur le schéma à moins qu&rsquo;ils ne soient visibles. Pour afficher les attributs de symboles invisibles, cherchez les mots clés suivants dans le fichier system-gschemrc: 
   </p>
   <pre class="code">(attribute-promotion â??enabledâ??);
   (promote-invisible â??disabledâ??) ; â?? This one
   (keep-invisible â??enabledâ??)</pre>
   
   <p>
  -Add to your gschemrc file: 
  +Ajoutez à votre fichier gschemrc: 
   </p>
   <pre class="code">(promote-invisible â??enabledâ??)</pre>
   
   <p>
  -and you will get all the attributes promoted. The â??keep-invisibleâ?? keyword will keep hidden those attributes that are hidden in the symbol file.
  +et vous verrez tous les attributs. Le mot « keep-invisible » gardera caché ceux des attributs qui sont cachés dans le fichier symbole.
   </p>
   
   </div>
  -<!-- SECTION [8298-8933] -->
  -<h2><a name="what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit" id="what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit">What should I do about power pins on my symbols: Make them visible (explicit) or invisible (implicit)?</a></h2>
  +<!-- SECTION [9389-10049] -->
  +<h2><a name="que_dois-je_faire_a_propos_des_broches_d_alimentation_sur_mon_symboleles_rendre_visible_explicites_ou_invisibles_implicites" id="que_dois-je_faire_a_propos_des_broches_d_alimentation_sur_mon_symboleles_rendre_visible_explicites_ou_invisibles_implicites">Que dois-je faire à propos des broches d'alimentation sur mon symbole: Les rendre visible (explicites) ou invisibles (implicites)?</a></h2>
   <div class="level2">
   
   <p>
  -In the past, digital logic circuits often hid the power pin, and attached power nets using an attribute inside the symbol. Modern thought is that this is a bad practice (although religious wars still occasionally rage about this topic).
  +Dans le passé, les circuits numériques cachaient souvent leurs broches d&rsquo;alimentation et en utilisant un attribut à l&rsquo;intérieur du symbole. La pensée moderne est que c&rsquo;est une mauvaise pratique bien que les guerres de religions font encore occasionnellement rage à propos de ce sujet).
   </p>
   
   <p>
  -Itâ??s marginally OK for an old logic circuit which is all 5V TTL to have hidden power and GND pins. If you only have +5V on your board, then hiding the power pin can simplify your schematic somewhat. However, few designers design such circuits nowadays; 5V TTL (and 5V CMOS) are rapidly becoming antique technologies.
  +Cela reste marginalement vrai pour les vieux circuits logiques d&rsquo;avoir toutes des broches d&rsquo;alimentation et de GND, en 5V TTL, cachées. Si vous n&rsquo;avez que du +5V sur votre carte, cacher alors les broches d&rsquo;alimentation +5V peut simplifier quelque peu votre schéma. Néanmoins, peut de concepteur dessinent de tels circuits de nos jours; 5V TTL (et 5V CMOS) sont devenues rapidement des technologies antiques.
   </p>
   
   <p>
  -Itâ??s always been unacceptable to hide the power pins on analog chips. First, analog often has multiple power connections (VCC, VEE) which need to be explicitly drawn out. Second, good design practice is to place decoupling caps on each and every power pin. Sometimes one places an inductor in series with power also. Since these should be drawn into the schematic, it is best done by attaching them to an explicit power pin. Therefore, one should never use hidden power pins for analog symbols.
  +Il a toujours été inacceptable de cacher les broches d&rsquo;alimentation sur les circuits analogiques. D&rsquo;abord, l&rsquo;analogique a souvent de multiples connexions d&rsquo;alimentation (VCC, VEE) qui ont explicitement besoin d&rsquo;être dessinées. Ensuite, une bonne pratique de conception est de placer des capacités de découplage sur chaquet et toutes les broches d&rsquo;alimentations. Quelques uns placent aussi une inductance en série avec l&rsquo;alimentation. Comme ils doivent être insérés dans le schéma, cela est réalisé plus facilement en les attachant explicitement à une broche d&rsquo;alimentation. C&rsquo;est la raison pour laquelle on ne dedrait jamais utiliser des broches d&rsquo;alimentaion cachées pour les symboles analogiques.
   </p>
   
   <p>
  -New logic circuits often use multiple supplies for different chip sections (OVDD, DVDD, etc). It is also typical to have several logic families on a single board (5V, 3.3V etc.). Therefore, itâ??s best to explicitly place and wire the power pins on the symbol. Hidden power pins are a recipe for disaster since you can all too easily misconnect a 5V part to a 3.3V power net, for example.
  +Les nouveaux circuits logiques utilisent souvent de multiples alimentations pour différentes sections logiques (OVDD, DVDD, etc). Il est aussi habituel d&rsquo;avoir plusieurs familles logiques sur une seule carte (5V, 3.3V, etc.). C&rsquo;est la raison pour laquelle est est mieux de placer et de câbler explicitement les broches d&rsquo;alimentation sur le symbole. Les broches d&rsquo;alimentation cachées sont un réservoir de désastre car vous pouvez facilement mal connecter un composant 5V sur un réseau 3.3V, par exemple.
   </p>
   
   <p>
  -To paraphrase Nancy Reagan: Just say â??noâ?? to hidden power pins.
  +Pour paraphraser Nancy Reagan: Dites simplement «·non·» aux broches d&rsquo;alimentation.
   </p>
   
   <p>
  -That said, it may still be usefull to detach the power pins from the functional part of the symbol. To do so, define a seperate power symbol and give it the same <a href="http://geda.seul.org/wiki/geda:glossary"; class="wikilink1" title="geda:glossary">refdes</a> as the functional part. A run of gsch2pcb will treat the siblings properly as one single component. As neither gschem nor gsch2pcb explicitely know that the component is only complete with both symbols defined, you have to check yourself. With this workaround, you can draw all power related circuitry in one corner of the schematic where it does not interfere with the signal nets. In many cases this is advantageous with analog circuits.
  +Ceci étant dit, il peut encore être utile de détacher les broches d&rsquo;alimentation de la partie fonctionnelle du du symbole. Pour ce faire, définissez un symbole d&rsquo;alimentation séparé et donnez-lui le même <a href="http://geda.seul.org/wiki/geda:glossary"; class="wikilink1" title="geda:glossary">refdes</a> comme une partie fonctionnelle. Lancer gsch2pcb traitera la séparation correctement comme un seul composant. Comme ni gschem, ni gsch2pcb ne savent explicitement que le composant n&rsquo;est complet qu&rsquo;avec les deux symboles définis, vous aurez donc à le contrôler vous-même. Avec cette méthode, vous pouvez dessiner toutes les alimentation dans un coin du schéma où elles n&rsquo;interfèrent pas avec les nets de signaux. Dans plusieurs cas, c&rsquo;est plus avantageux avec les circuits analogiques.
   </p>
   
   </div>
  -<!-- SECTION [8934-11191] -->
  -<h2><a name="is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it" id="is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it">Is there a specification or manual for creating gschem symbols? Where is it?</a></h2>
  +<!-- SECTION [10050-12944] -->
  +<h2><a name="existe-t-il_une_specification_ou_un_manuel_pour_creer_les_symboles_gschem_ou_est-il" id="existe-t-il_une_specification_ou_un_manuel_pour_creer_les_symboles_gschem_ou_est-il">Existe-t-il une spécification ou un manuel pour créer les symboles gschem? Où est-il?</a></h2>
   <div class="level2">
   
   <p>
  -Yes. It is the <a href="http://geda.seul.org/wiki/geda:scg"; class="wikilink1" title="geda:scg">Symbol Creation Guide</a>.
  +Oui. C&rsquo;est le <a href="001geda_scg.html" class="wikilink1" title="geda:scg.fr">Guide de Création de Symbole</a>.
   </p>
   
   </div>
  -<!-- SECTION [11192-11332] -->
  -<h1><a name="gschem_configuration_customization" id="gschem_configuration_customization">Gschem configuration/customization</a></h1>
  +<!-- SECTION [12945-13107] -->
  +<h1><a name="configuration_personnalisation_de_gschem" id="configuration_personnalisation_de_gschem">Configuration/personnalisation de gschem</a></h1>
   <div class="level1">
   
   <p>
  -Gschem is configurable in more ways than can be describe here. Look at &ldquo;system-gschemrc&rdquo; for suggestions what else can be done.
  +gschem est configurable de plusieurs manières qui peuvent être décrites ici. Jetez un oeil sur «·system-gschemrc·» pour des suggestions sur ce qui peut être fait d&rsquo;autre.
   </p>
   
   </div>
  -<!-- SECTION [11333-11510] -->
  -<h2><a name="how_can_i_change_the_default_size_of_floating_text" id="how_can_i_change_the_default_size_of_floating_text">How can I change the default size of floating text?</a></h2>
  +<!-- SECTION [13108-13342] -->
  +<h2><a name="comment_changer_la_taille_par_defaut_d_un_texte_flottant" id="comment_changer_la_taille_par_defaut_d_un_texte_flottant">Comment changer la taille par défaut d'un texte flottant?</a></h2>
   <div class="level2">
   
   <p>
  -Put  
  +Placez  
   </p>
   <pre class="code">(text-size 10)</pre>
   
   <p>
  - into your gschemrc and replace &ldquo;10&rdquo; with your favorite size.
  + dans votre gschemrc et replacez «·10·» avec votre taille favorite.
   </p>
   
   </div>
  -<!-- SECTION [11511-11669] -->
  -<h2><a name="how_can_i_have_a_different_background_color_other_than_black" id="how_can_i_have_a_different_background_color_other_than_black">How can I have a different background color other than black?</a></h2>
  +<!-- SECTION [13343-13521] -->
  +<h2><a name="comment_je_peux_avoir_une_couleur_fond_differente" id="comment_je_peux_avoir_une_couleur_fond_differente">Comment je peux avoir une couleur fond différente?</a></h2>
   <div class="level2">
   
   <p>
  -Edit the system-gschemrc file and near the top you will find lines like: 
  + �ditez le fichier system-gschemrc et au début du fichier, vous trouvezrez des lignes comme: 
   </p>
   <pre class="code">; 
   ; Start of color section
  @@ -380,38 +402,38 @@
   ;(load (string-append gedadatarc &quot;/gschem-lightbg&quot;)) ; light background</pre>
   
   <p>
  -Comment out the <strong><code>darkbg</code></strong> line (with a ;) and comment in the <strong><code>lightbg</code></strong> line. This will give you a light background instead of a black background. It also adjust all the other colors to be compatible with a light background.
  +Commentez la ligne <strong><code>darkbg</code></strong> (avec un ;) et décommentez la ligne <strong><code>lightbg</code></strong>. Cela vous donnera une couleur de fond blanche au lieu de noir. Il ajuste aussi toutes les autres couleurs pour être compatibles avec un fond lumineux.
   </p>
   
   <p>
  -If you want more control over the colors, please edit <strong><code>${prefix}/share/gEDA/gschem-darkbg</code></strong> or <strong><code>${prefix}/share/gEDA/gschem-lightbg</code></strong> or create your own file and load it in the <strong><code>system-gschemrc</code></strong> file.
  +Si vous voulez plus de contrôle sur les couleurs, veuillez éditer <strong><code>${prefix}/share/gEDA/gschem-darkbg</code></strong> ou <strong><code>${prefix}/share/gEDA/gschem-lightbg</code></strong> ou créez votre propre fichier et chargez-le dans le fichier <strong><code>system-gschemrc</code></strong>.
   </p>
   
   <p>
  -A dark background is preferred by many users.
  +Un fond noir est préféré par plusieurs utilisateurs.
   </p>
   
   </div>
  -<!-- SECTION [11670-12705] -->
  -<h1><a name="printing_output" id="printing_output">Printing/Output</a></h1>
  +<!-- SECTION [13522-14611] -->
  +<h1><a name="impression_sortie" id="impression_sortie">Impression/Sortie</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [12706-12735] -->
  -<h2><a name="how_do_i_print_schematics_from_the_command_line" id="how_do_i_print_schematics_from_the_command_line">How do I print schematics from the command line?</a></h2>
  +<!-- SECTION [14612-14644] -->
  +<h2><a name="comment_imprimer_les_schemas_depuis_le_ligne_de_commande" id="comment_imprimer_les_schemas_depuis_le_ligne_de_commande">Comment imprimer les schémas depuis le ligne de commande?</a></h2>
   <div class="level2">
   
   <p>
  -Running the script <strong><code>gschem-print.scm</code></strong> will create the Postscript file that is specified on the command line.
  + Lancez le script <strong><code>gschem-print.scm</code></strong> créera le fichier Postscript qui est spécifié par la ligne de commande.
   </p>
   
   <p>
  -The command line below creates a Postscript file from a schematic file (replace MY_SCH with the name of your schematic and GEDA_SCHEME_DIR with the name of the directory where your gEDA scheme files are installed): 
  +La ligne de commande ci-dessous crée un fichier Postscript depuis le fichier schéma (replacez MY_SCH avec le nom de votre schéma et GEDA_SCHEME_DIR avec le nom de votre répertoire où les fichiers schémas gEDA sont installés): 
   </p>
   <pre class="code">gschem -p -oMY_SCH.ps -sGEDA_SCHEME_DIR/gschem-print.scm MY_SCH.sch</pre>
   
   <p>
  -The BASH script below, which I name <strong><code>gschem-print</code></strong>, creates a Postscript file for each schematic file that is specified on the command line and then outputs each Postscript file to the default printer: 
  +Le script BASH ci-dessous, que j&rsquo;ai nommé <strong><code>gschem-print</code></strong>, crée un fichier Postscript pour chaque fichier schéma spécifié sur la ligne de commande et place chaque fichier généré sur l&rsquo;imprimante par défaut: 
   </p>
   <pre class="code">#!/bin/bash
   
  @@ -428,64 +450,64 @@
   done</pre>
   
   </div>
  -<!-- SECTION [12736-13721] -->
  -<h2><a name="how_can_i_get_color_postscript_png_output" id="how_can_i_get_color_postscript_png_output">How can I get color postscript/PNG output?</a></h2>
  +<!-- SECTION [14645-15674] -->
  +<h2><a name="comment_je_peux_sortie_le_postscript_png_en_couleurs" id="comment_je_peux_sortie_le_postscript_png_en_couleurs">Comment je peux sortie le postscript/PNG en couleurs?</a></h2>
   <div class="level2">
   
   <p>
  -Edit the <strong><code>system-gschemrc</code></strong> file or place the following into a <strong><code>gschemrc</code></strong> file (either <strong><code>~/.gEDA/gschemrc</code></strong> or a <strong><code>gschemrc</code></strong> file in the local directory where you invoke gschem): 
  +�ditez le fichier <strong><code>system-gschemrc</code></strong> ou placez ce qui suit dans un fichier <strong><code>gschemrc</code></strong> (soit <strong><code>~/.gEDA/gschemrc</code></strong> ou un fichier <strong><code>gschemrc</code></strong> dans le répertoire local depuis où vous invoquez gschem): 
   </p>
   <pre class="code">(output-color &quot;enabled&quot;)      ; for color postscript output
   (image-color &quot;enabled&quot;)       ; for color PNG output (enabled by default)</pre>
   
   <p>
  -To control the background of the PS output, change the following line in either gschem-darkbg (for the default black colored background) or gschem-lightbg (for the alternative light colored background): 
  +Pour contrôler la couleur de fond du fichier PS, changez la ligne suivante soit avec gschem-darkbg (pour la couleur de fond par défaut en noir) ou gschem-lightbg (pour une couleur de fond alternative): 
   </p>
   <pre class="code">(output-color-background 16 &quot;black&quot; &quot;null&quot; &quot;0 0 0&quot; 0 0 0)</pre>
   
   <p>
  -The â??0 0 0â?? is the RGB components (between 0..1) for the background color of the PS output.
  +Les â??0 0 0â?? sont les composantes RGB (entre 0..1) pour la couleur de fond de la sortie du PS.
   </p>
   
   <p>
  -To control the background of the <acronym title="Portable Network Graphics">PNG</acronym> output, change the following line in either gschem-darkbg (for the default black colored background) or gschem-lightbg (for the alternative light colored background): 
  +Pour contrôler le fond de la sortie <acronym title="Portable Network Graphics">PNG</acronym>, changez la ligne suivante soit en gschem-darkbg (pour la couleur de fond par défaut en noir) ou gschem-lightbg (pour une couleur de fond alternative): 
   </p>
   <pre class="code">(background-color 0 &quot;grey94&quot; &quot;null&quot; &quot;1 1 1&quot; 255 255 255)</pre>
   
   <p>
  -The 255 255 255 are the RGB components for the background color of the <acronym title="Portable Network Graphics">PNG</acronym> image.
  +Les 255 255 255 sont les composantes RGB pour la couleur de fond de l&rsquo;image <acronym title="Portable Network Graphics">PNG</acronym>.
   </p>
   
   </div>
  -<!-- SECTION [13722-14853] -->
  -<h2><a name="how_can_i_get_black_and_white_postscript_png_output" id="how_can_i_get_black_and_white_postscript_png_output">How can I get black and white postscript/PNG output?</a></h2>
  +<!-- SECTION [15675-16830] -->
  +<h2><a name="comment_je_peux_avoir_une_sortie_postscript_png_en_noir_et_blanc" id="comment_je_peux_avoir_une_sortie_postscript_png_en_noir_et_blanc">Comment je peux avoir une sortie postscript/PNG en noir et blanc?</a></h2>
   <div class="level2">
   
   <p>
  -For black and white PS output, place the following into a gschemrc file: 
  +Pour les sorties PS en noir et blanc, placez ce qui suit dans un fichier gschemrc: 
   </p>
   <pre class="code">(output-color &quot;disabled&quot;)      ; for monochrome postscript output</pre>
   
   <p>
  -For black and white <acronym title="Portable Network Graphics">PNG</acronym> images, place the following into a gschemrc file: 
  +Pour les images <acronym title="Portable Network Graphics">PNG</acronym> en noir et blanc, placez ce qui suit dans un fichier gschemrc: 
   </p>
   <pre class="code">(image-color &quot;disabled&quot;)       ; for monochromoe PNG output</pre>
   
   </div>
  -<!-- SECTION [14854-15219] -->
  -<h2><a name="how_can_i_insert_schematics_into_my_latex_document" id="how_can_i_insert_schematics_into_my_latex_document">How can I insert schematics into my LaTex document?</a></h2>
  +<!-- SECTION [16831-17228] -->
  +<h2><a name="comment_j_insere_des_schemas_dans_mon_document_latex" id="comment_j_insere_des_schemas_dans_mon_document_latex">Comment j'insère des schémas dans mon document LaTex?</a></h2>
   <div class="level2">
   <ol>
  -<li class="level1"><div class="li"> Print the schematic to a file. This will be generic postscript (*.ps).</div>
  +<li class="level1"><div class="li"> Sortez le schéma dans un fichier. Cela sera un postscript générique (*.ps).</div>
   </li>
  -<li class="level1"><div class="li"> Convert the postscript file to epsi with the tool ps2epsi. This is a script from the ghostscript suite.</div>
  +<li class="level1"><div class="li"> Convertissez le fichier postscript en epsi avec l&rsquo;outil ps2epsi. C&rsquo;est un script de la suite ghostscript.</div>
   </li>
  -<li class="level1"><div class="li"> Include usepackage{graphicx} to the preamble of your latex document. Use the comand includegraphics to place your schematic.</div>
  +<li class="level1"><div class="li"> Incluez usepackage{graphicx} dans le préambulz de votre document latex. Utilisez la commande includegraphics pour placer votre schéma.</div>
   </li>
   </ol>
   
   <p>
  - A simple example: 
  + Un exemple simple: 
   </p>
   <pre class="code">\documentclass{article}
   \usepackage{graphicx}
  @@ -496,30 +518,30 @@
   \end{document}</pre>
   
   </div>
  -<!-- SECTION [15220-15783] -->
  -<h2><a name="how_can_i_split_postscript_output_over_multiple_pages" id="how_can_i_split_postscript_output_over_multiple_pages">How can I split postscript output over multiple pages?</a></h2>
  +<!-- SECTION [17229-17819] -->
  +<h2><a name="comment_je_scinde_un_fichier_postscript_en_plusieurs_pages" id="comment_je_scinde_un_fichier_postscript_en_plusieurs_pages">Comment je scinde un fichier postscript en plusieurs pages?</a></h2>
   <div class="level2">
   
   <p>
  -gschem does not provide this functionality internally, however there is a program called â??posterâ?? which does exactly this. It can be downloaded from either <a href="http://www.gnu.org/directory/poster.html"; class="urlextern" title="http://www.gnu.org/directory/poster.html";  rel="nofollow">here</a> (GNU) or <a href="http://printing.kde.org/downloads/"; class="urlextern" title="http://printing.kde.org/downloads/";  rel="nofollow">here</a> (KDE Print).
  +gschem ne fournit pas cette fonctionnalité en interne; il existe néanmoins un programme appelé «·poster·» qui le réalise exactement. Il peut être téléchargé depuis soit <a href="http://www.gnu.org/directory/poster.html"; class="urlextern" title="http://www.gnu.org/directory/poster.html";  rel="nofollow">ici</a> (GNU), soit <a href="http://printing.kde.org/downloads/"; class="urlextern" title="http://printing.kde.org/downloads/";  rel="nofollow">ici</a> (KDE Print).
   </p>
   
   </div>
  -<!-- SECTION [15784-16127] -->
  -<h1><a name="gschem_installation_run-time_problems" id="gschem_installation_run-time_problems">Gschem installation/run-time problems</a></h1>
  +<!-- SECTION [17820-18190] -->
  +<h1><a name="problemes_d_installation_d_execution_de_gschem" id="problemes_d_installation_d_execution_de_gschem">Problèmes d'installation/d'exécution de gschem</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [16128-16180] -->
  -<h2><a name="gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around" id="gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around">Gschem segfaults when I delete components on FC5 (and other Linux distributions)! Is there a work-around?</a></h2>
  +<!-- SECTION [18191-18254] -->
  +<h2><a name="gschem_segfaults_lorsque_j_efface_des_composants_sur_fc5_et_sur_d_autres_distributions_linux_existe-t-il_une_amelioration" id="gschem_segfaults_lorsque_j_efface_des_composants_sur_fc5_et_sur_d_autres_distributions_linux_existe-t-il_une_amelioration">gschem segfaults lorsque j'efface des composants sur FC5 (et sur d'autres distributions Linux)! Existe-t-il une amélioration?</a></h2>
   <div class="level2">
   
   <p>
  -This bug seems to have appeared for users of Fedora Core 5 (and other linux distributions that use glib 2.10.x). The bug has been fixed by the developers and the bug fix will appear in the next version of gEDA/gaf. 
  +Ce bogue semble être apparu pour les utilisateurs de la Fedora Core 5 (et d&rsquo;autres distributions linux qui utilisent glib 2.10.x). Le bogue a été réglé par les développeurs et il apparaîtra dans la prochaine version de gEDA/gaf. 
   </p>
   
   <p>
  -In the mean time, you can work around this bug by setting the environment variable G_SLICE to â??always-mallocâ??.  Specifically, before you run gschem, do this:
  +A moyen terme, vous pouvez contourner ce bogue en plaçant la variable d&rsquo;environnement G_SLICE à «·always-malloc·».  Spécifiquement, avant de lancer gschem, faites ceci:
   </p>
   
   <p>
  @@ -533,17 +555,17 @@
   <pre class="code">setenv G_SLICE always-malloc</pre>
   
   </div>
  -<!-- SECTION [16181-16775] -->
  -<h2><a name="after_installation_gschem_does_not_work_what_could_be_wrong" id="after_installation_gschem_does_not_work_what_could_be_wrong">After installation gschem does not work!? What could be wrong?</a></h2>
  +<!-- SECTION [18255-18905] -->
  +<h2><a name="apres_l_installation_gschem_ne_fonctionne_pas_que_ce_qui_a_pu_aller_mal" id="apres_l_installation_gschem_ne_fonctionne_pas_que_ce_qui_a_pu_aller_mal">Après l'installation, gschem ne fonctionne pas!? Que ce qui a pu aller mal?</a></h2>
   <div class="level2">
   
   <p>
  -If you run gschem and you get a window without a menu bar, no colors, and the program terminates when you press a key with the following message: 
  + Si vous lancez gschem et que vous avez une fenêtre sans barre de menu, sans couleurs et le programme s&rsquo;arrête lorsque vous appuyez sur une touche avec le message suivant: 
   </p>
   <pre class="code">ERROR: Unbound variable: current-keymap</pre>
   
   <p>
  -Or you get errors like this: 
  +Ou vous avez une erreur tel que ceci: 
   </p>
   <pre class="code">Gtk-CRITICAL : file gtkpixmap.c: line 97 (gtk_pixmap_new): assertion `val != NULLâ?? failed.
   Gtk-CRITICAL : file gtkpixmap.c: line 97 (gtk_pixmap_new): assertion `val != NULLâ?? failed.
  @@ -553,56 +575,56 @@
   Tried to get an invalid color: 7</pre>
   
   <p>
  -then gschem is not finding an rc file. There are two required rc files. The first is <strong><code>system-gschemrc</code></strong> and the second is <strong><code>system-commonrc</code></strong>.
  +alors gschem ne trouve pas de fichier rc. Il y a deux ficheirs rc nécessaires. Le premier est <strong><code>system-gschemrc</code></strong> et le second <strong><code>system-commonrc</code></strong>.
   </p>
   <ul>
  -<li class="level1"><div class="li"> The system-gschemrc rc file should be installed when you install gschem and typically resides in <strong><code>${prefix}/share/gEDA/system-gschemrc</code></strong>. <strong><code>${prefix}</code></strong> is where you installed gschem (usually <strong><code>/usr</code></strong> or <strong><code>/usr/local</code></strong> or <strong><code>$HOME/geda</code></strong>). This file can also be installed in /etc/gEDA (the .debs packages do this).</div>
  +<li class="level1"><div class="li"> Le fichier rc system-gschemrc doit être installé lorsque vous installez gschem et réside typiquement dans <strong><code>${prefix}/share/gEDA/system-gschemrc</code></strong>. <strong><code>${prefix}</code></strong> est l&rsquo;endroit où vous avez installé gschem (habituellement <strong><code>/usr</code></strong> ou <strong><code>/usr/local</code></strong> ou <strong><code>$HOME/geda</code></strong>). Ce fichier peut aussi être installé dans /etc/gEDA (c&rsquo;est le cas des paquets .debs).</div>
   </li>
  -<li class="level1"><div class="li"> The system-commonrc rc file should be installed when you install the symbol library for gEDA/gaf. It resides in <strong><code>${prefix}/share/gEDA/system-commonrc</code></strong>. This file can also be installed in <strong><code>/etc/gEDA</code></strong> (the .debs packages do this). This file is not loaded directly by gschem. It is loaded by a â??(load ...)â?? in the system-gschemrc rc file.</div>
  +<li class="level1"><div class="li"> Le fichier rc system-commonrc devrait être installé lorsque vous installez la bibliothèque de symboles pour gEDA/gaf. Il réside dans <strong><code>${prefix}/share/gEDA/system-commonrc</code></strong>. Ce fichier peut aussi être installé dans <strong><code>/etc/gEDA</code></strong> (c&rsquo;est le cas des paquets .debs). Ce fichier n&rsquo;est pas chargé directement par gschem. Il est chargé par un â??(load ...)â?? dans le ficheir rc system-gschemrc.</div>
   </li>
   </ul>
   
   <p>
  - Make sure these file are installed. The gschem.log file (which is created everytime you run gschem) holds valuable debugging information which should help in determining what is wrong. Check this file for where gschem is looking for the rc files.
  + Assurez-vous que ces fichiers soient installés. Le fichier gschem.log (qui est créé à chaque fois que vous lancez gschem) contient des informations de déboguage intéressantes qui doivent aider dans la recherche de ce qui ne va pas. Contrôlez ce fichier pour voir où gschem cherche les fichiers rc.
   </p>
   
   <p>
  -Also, some older releases of gEDA/gaf had some bugs when the rc files were installed in other locations (other that <strong><code>${prefix}/share/gEDA</code></strong>), so please upgrade to a more current release.
  +Aussi, quelques anciennes versions de gEDA/gaf ont quelques bogues lorsque les fichiers rc files sont installés dans d&rsquo;autres endroits (autres que <strong><code>${prefix}/share/gEDA</code></strong>), veuillez donc le mettre à jour vers une version plus récente.
   </p>
   
   </div>
  -<!-- SECTION [16776-18699] -->
  -<h2><a name="add_components_offers_no_symbols_what_can_i_do_about_it" id="add_components_offers_no_symbols_what_can_i_do_about_it">&quot;Add Components&quot; offers no symbols! What can I do about it?</a></h2>
  +<!-- SECTION [18906-21095] -->
  +<h2><a name="ajouter_des_composants_ne_proposent_aucun_symboles_que_puis-je_y_faire" id="ajouter_des_composants_ne_proposent_aucun_symboles_que_puis-je_y_faire">&quot;Ajouter des Composants&quot; ne proposent aucun symboles! Que puis-je y faire?</a></h2>
   <div class="level2">
   
   <p>
  -Make sure that at least one of your config files contains a valid path to a symbol library. At startup, gschem checks for the following config files (on a Debian system):
  + Assurez-vous qu&rsquo;au moins un de vos fichiers de config contient un chemin valide vers une bibliothèque de symbole. Au démarrage, gschem contrôle les fichiers de de config suivants (sur un système Debian):
   </p>
   <ol>
  -<li class="level1"><div class="li"> system gafrc file: <code>/etc/gEDA/system-gafrc</code></div>
  +<li class="level1"><div class="li"> fichier gafrc système: <code>/etc/gEDA/system-gafrc</code></div>
   </li>
  -<li class="level1"><div class="li"> user gafrc file: <code>~/.gEDA/gafrc</code></div>
  +<li class="level1"><div class="li"> fichier gafrc utilisateur: <code>~/.gEDA/gafrc</code></div>
   </li>
  -<li class="level1"><div class="li"> local gafrc file: <code>$PWD/gafrc</code></div>
  +<li class="level1"><div class="li"> fichier gafrc local: <code>$PWD/gafrc</code></div>
   </li>
  -<li class="level1"><div class="li"> system gschemrc file: <code>/etc/gEDA/system-gschemrc</code></div>
  +<li class="level1"><div class="li"> fichier gschemrc système: <code>/etc/gEDA/system-gschemrc</code></div>
   </li>
  -<li class="level1"><div class="li"> user gschemrc file: <code>~/.gEDA/gschemrc</code></div>
  +<li class="level1"><div class="li"> fichier gschemrc utilisateur: <code>~/.gEDA/gschemrc</code></div>
   </li>
  -<li class="level1"><div class="li"> local gschemrc file: <code>$PWD/gschemrc]</code></div>
  +<li class="level1"><div class="li"> fichier gschemrc local: <code>$PWD/gschemrc]</code></div>
   </li>
   </ol>
   
   <p>
  -All of these config files may or may not append paths to the library search list. If a config file conatins the command 
  +Tous ces fichies de config peuvent ou non ajouter des chemins à la liste de recherche des bibliothèques. Si un fichier de config contient la commande 
   </p>
   <pre class="code">(reset-component-library)</pre>
   
   <p>
  - the library search path will be emptied. Order is obviously important, as this command will erase any previously appended paths.   
  + Le chemin de recherche des bibliothèques sera vidé. L&rsquo;ordre est évidemment important, comme cette commande effacera tout chemin précédemment ajoûté.   
   </p>
   
   </div>
  -<!-- SECTION [18700-] --></div>
  +<!-- SECTION [21096-] --></div>
   </body>
   </html>
  
  
  
  1.1.6.1   +146 -1    eda/geda/gaf/docs/wiki/001geda_faq-simulation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_faq-simulation.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_faq-simulation.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- 001geda_faq-simulation.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_faq-simulation.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,12 +12,157 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq-simulation.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq-simulation.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-12-09T17:31:18-0500" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +<div class="toc">
  +<div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  +<div id="toc__inside">
  +
  +<ul class="toc">
  +<li class="level1"><div class="li"><span class="li"><a href="#simulation" class="toc">Simulation</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#je_veux_simuler_mon_circuit_analogique._quelles_sont_mes_options" class="toc">Je veux simuler mon circuit analogique. Quelles sont mes options?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#qu_en_est-il_de_tclspice_qu_est_ce_que_c_est_dois-je_l_utiliser" class="toc">Qu'en est-il de tclspice? Qu'est ce que c'est? Dois-je l'utiliser?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#n_existe-t-il_une_belle_interface_graphique_capture_de_schema_de_telle_maniere_que_je_n_ai_juste_qu_a_placer_des_composants_et_presser_un_bouton_simulation" class="toc">N'existe-t-il une belle interface graphique (capture de schéma) de telle manière que je n'ai juste qu'à placer des composants et presser un bouton «·simulation·»?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_puis-je_creer_mes_schemas_pour_faciliter_les_simulations_analogiques" class="toc">Comment puis-je créer mes schémas pour faciliter les simulations analogiques?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#quelles_sont_les_commandes_gnetlist_pour_spice_sont_a_utiliser_ici_il_y_en_a_beaucoup" class="toc">Quelles sont les commandes gnetlist pour SPICE sont à utiliser ici? Il y en a beaucoup. . . .</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_faire_si_on_veut_utiliser_gnucap_puis-je_utiliser_spice-sdb_pour_creer_mes_netlists_gnucap" class="toc">Comment faire si on veut utiliser gnucap, puis-je utiliser spice-sdb pour créer mes netlists gnucap?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#pourquoi_ne_puis-je_reutiliser_mon_schema_de_simulation_pour_le_placement_sur_carte" class="toc">Pourquoi ne puis-je réutiliser mon schéma de simulation pour le placement sur carte?</a></span></div></li></ul>
  +</li></ul>
   </div>
  +</div>
  +
  +<h1><a name="simulation" id="simulation">Simulation</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [1-25] -->
  +<h2><a name="je_veux_simuler_mon_circuit_analogique._quelles_sont_mes_options" id="je_veux_simuler_mon_circuit_analogique._quelles_sont_mes_options">Je veux simuler mon circuit analogique. Quelles sont mes options?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Parmi les applications de la Suite gEDA, il y a deux simulateurs de circuits analogiques: ngspice et gnucap. Plus (un peu) en détail:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <a href="http://ngspice.sourceforge.net/"; class="urlextern" title="http://ngspice.sourceforge.net/";  rel="nofollow">Ngspice</a> est un portage/nettoyage du SPICE 3f5 classique sur la plate-forme GNU/Linux. Il est pleinement fonctionnel, inclut des extensions XSpice (tels que des constructions SPICE 2 POLY) et une structure CIDER.</div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.gnucap.org/"; class="urlextern" title="http://www.gnucap.org/";  rel="nofollow">Gnucap</a> est un nouveau simulateur de circuit écrit depuis zéro. Il offre la possibilité d&rsquo;effectuer des simulations basées sur les événements â?? de même qu&rsquo;en temps â??. C&rsquo;est le travail de Al Davis, Professor of Electrical Engineering à l&rsquo;Université Kettering. Si vous voulez le télécharger, assurez-vous de prendre la dernière version, disponible avec les liens des «·developement releases·» sur le site gnucap.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Les deux sont des programmes CLI (command line interface·; «·interface à ligne de commande·»), signifiant que vous intérragissez avec le simulateur en saisissant les commandes sur la ligne de commande. Cela signifie aussi que vous avez besoin d&rsquo;apprendre le jeu de commandes spécifique au simulateur.
  +</p>
  +
  +<p>
  +Si vous préférez une interface graphique, la nouvelle application GSpiceUI de gEDA fournit une jolie interface utilisateur graphique pour piloter les programmes de simulation. Néanmoins, GSpiceUI n&rsquo;est pas  un simulateur sortie-schema-vers-simulation complet comme LTSpice ou PSpice. Il fournit, à la place, un menu d&rsquo;interface graphique qui vous vous aide à porter des commandes dont vous avez besoin pour effectuer vos simulations ngspice/gnucap.
  +</p>
  +
  +</div>
  +<!-- SECTION [26-1717] -->
  +<h2><a name="qu_en_est-il_de_tclspice_qu_est_ce_que_c_est_dois-je_l_utiliser" id="qu_en_est-il_de_tclspice_qu_est_ce_que_c_est_dois-je_l_utiliser">Qu'en est-il de tclspice? Qu'est ce que c'est? Dois-je l'utiliser?</a></h2>
  +<div class="level2">
  +
  +<p>
  +<a href="http://tclspice.sourceforge.net/"; class="urlextern" title="http://tclspice.sourceforge.net/";  rel="nofollow">Tclspice</a> est un fork du développement de ngspice. Il a débuté en 2002. En principe, tclspice exportera le jeu de commande SPICE vers un <acronym title="Application Programming Interface">API</acronym> TCL, vous permettant d&rsquo;embarquer des analyses SPICE en un programme TCL. Cette vision est certainement très attirante car TCL est un langage à scripts très puissant â?? bien plus puissant que les constructions de scripts disponibles dans SPICE lui-même. En utilisant TCL, il est possible d&rsquo;imaginer l&rsquo;écriture d&rsquo;optimisateurs de circuits complexes, ajoutant des éléments de comportement à la simulation et finalement en gagnant le contrôle de la sortie graphique de SPICE.
  +</p>
  +
  +<p>
  +Au fur et à mesure, le but a été partiellement atteint â?? avec tclspice, vous pouvez donc faire quelque chose comme ceci: 
  +</p>
  +<pre class="code">#! tclsh 
  +package require spice 
  +spice::codemodel /usr/local/src/tclspice-0.2.12/src/xspice/icm/spice2poly.cm 
  +
  +spice::source netlistname.cir
  +spice::tran 0.1ns 40ns
  +spice::run
  +spice::plot Vout
  +puts &quot;All done now!&quot;</pre>
  +
  +<p>
  +Malheureusement, tclspice manque de fonctionnalités importantes, comme vous fournir un code de retour qui vous indique à quel stade réel est votre simulation ou si elle est en erreur. Aussi, les fonctionnalités graphiques semblent de jamais fonctionner (au moins pour moi ... et les développeurs admettent que la partie graphique a des problèmes). Convertir des variables TCL vers et depuis des vecteurs SPICE semble ne jamais fonctionner â?? encore une fois, au moins pour moi. Finalement, ngspice (au moins) beaucoup de fuites de mémoire, rendant les simulations longues difficiles. C&rsquo;est la raison pour laquelle, tclspice n&rsquo;a pas encore réalisé les promesses qui ont été originellement été faites: une manière pratique, par scripts pour piloter les simulations SPICE.
  +</p>
  +
  +<p>
  +Les développements sur tclspice ont effectivement cessé en 2004. Peu être qu&rsquo;un jour, quelqu&rsquo;un le redémarrera. Pendant ce temps, la branche de développement <a href="http://ngspice.sourceforge.net/"; class="urlextern" title="http://ngspice.sourceforge.net/";  rel="nofollow">ngspice</a> a récupéré quelques fonctionnalités tclspice si vous les voulez (elles nécessitent des options de configuration séparées) et le code est plus récent.
  +</p>
  +
  +</div>
  +<!-- SECTION [1718-3965] -->
  +<h2><a name="n_existe-t-il_une_belle_interface_graphique_capture_de_schema_de_telle_maniere_que_je_n_ai_juste_qu_a_placer_des_composants_et_presser_un_bouton_simulation" id="n_existe-t-il_une_belle_interface_graphique_capture_de_schema_de_telle_maniere_que_je_n_ai_juste_qu_a_placer_des_composants_et_presser_un_bouton_simulation">N'existe-t-il une belle interface graphique (capture de schéma) de telle manière que je n'ai juste qu'à placer des composants et presser un bouton «·simulation·»?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Non. Le miux que vous puissiez faire est d&rsquo;utiliser GSpiceUI.
  +</p>
  +
  +</div>
  +<!-- SECTION [3966-4210] -->
  +<h2><a name="comment_puis-je_creer_mes_schemas_pour_faciliter_les_simulations_analogiques" id="comment_puis-je_creer_mes_schemas_pour_faciliter_les_simulations_analogiques">Comment puis-je créer mes schémas pour faciliter les simulations analogiques?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Le chemin habituel pour la conception est «·gschem·» â?? «·gnetlist -g spice-sdb·» â?? [«·ngspice·» | «·gnucap·»]. Vous avez besoin d&rsquo;attacher des attributs aux composants dans votre schéma pour fournir les attributs nécessaires à SPICE/Gnucap. Vous pouvez aussi attacher des attributs en utilisant gattrib.
  +</p>
  +
  +<p>
  +Le <a href="http://geda.seul.org/wiki/geda:csygas"; class="wikilink1" title="geda:csygas">Circuit Simulation using gEDA and SPICE - HOWTO</a> décrit tout ceci en détail.
  +</p>
  +
  +<p>
  + Quelques <a href="http://www.brorson.com/gEDA/SPICE/"; class="urlextern" title="http://www.brorson.com/gEDA/SPICE/";  rel="nofollow">ressources SPICE</a> vous aideront pour comprendre comment utiliser spice-sdb.
  +</p>
  +
  +</div>
  +<!-- SECTION [4211-4853] -->
  +<h2><a name="quelles_sont_les_commandes_gnetlist_pour_spice_sont_a_utiliser_ici_il_y_en_a_beaucoup" id="quelles_sont_les_commandes_gnetlist_pour_spice_sont_a_utiliser_ici_il_y_en_a_beaucoup">Quelles sont les commandes gnetlist pour SPICE sont à utiliser ici? Il y en a beaucoup. . . .</a></h2>
  +<div class="level2">
  +
  +<p>
  +Utilisez spice-sdb. Il est le plus riche en fonctionnalités. Les autres ne sont présent que pour des raisons historiques. Notez que spice-sdb est une extension d&rsquo;une des autres commandes SPICE, vous ne perdez rien en utilisant spice-sdb.
  +</p>
  +
  +</div>
  +<!-- SECTION [4854-5201] -->
  +<h2><a name="comment_faire_si_on_veut_utiliser_gnucap_puis-je_utiliser_spice-sdb_pour_creer_mes_netlists_gnucap" id="comment_faire_si_on_veut_utiliser_gnucap_puis-je_utiliser_spice-sdb_pour_creer_mes_netlists_gnucap">Comment faire si on veut utiliser gnucap, puis-je utiliser spice-sdb pour créer mes netlists gnucap?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Oui. Vous pouvez aussi dessiner votre schéma en utilisant les directives gnucap disponibles dans le répertoire de symbole «·spice·».
  +</p>
  +
  +</div>
  +<!-- SECTION [5202-5455] -->
  +<h2><a name="pourquoi_ne_puis-je_reutiliser_mon_schema_de_simulation_pour_le_placement_sur_carte" id="pourquoi_ne_puis-je_reutiliser_mon_schema_de_simulation_pour_le_placement_sur_carte">Pourquoi ne puis-je réutiliser mon schéma de simulation pour le placement sur carte?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Les nouveau veulent habituellement créer un schéma unique pour la simulation/validation et le placement sur carte. Cette vision semble tout d&rsquo;abord attirante car votre carte aura d&rsquo;abord été testée et validée avant de la créer en FR-4. Néanmoins, le diable est dans les détails·; utiliser un seul schéma pour la simulation et le placement ne fonctionne habituellement pas pour els raisons suivantes:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Les composants nécessaires pour la simulation et le placement sont normalement très différents. par exemple, la simulation nécessite souvent un schéma comportant un lot d&rsquo;éléments liés à SPICE comme mes sources de tension, les sources dépendantes, les directives SPICE et ainsi de suite. D&rsquo;un autre côté, le placement nécessite des composant impossible à modéliser comme des connecteurs, des composants logiques et même des éléments comme des régulateurs de tension qui peuvent ne pas avoir de modèles SPICE de disponibles mais qui vont poluer votre netlist SPICE, rendant le netlister et le simulateur malheureux.</div>
  +</li>
  +<li class="level1"><div class="li"> Quelques composants électroniques réels n&rsquo;ont pas de modèles SPICE. Il existe beaucoup de composants qui n&rsquo;en possèdent pas comme les potentiomètres, les transformateurs, les thermistances, les filtres EMI, les portes logiques, les quartz, les tubes à vide et ainsi de suite. C&rsquo;est la raison pour laquelle si votre schéma utilise un de ces composants, vous devez avoir un élément utilisant un circuit équivalent pour faire la simulation. Cela rend très difficile la réutilisation du schéma de simulation pour le placement.</div>
  +</li>
  +<li class="level1"><div class="li"> Habituellement, vous avez seulement besoin de simuler un sous-ensemble de votre schéma. Par exemple, vous pouvez vouloir simuler le comportement d&rsquo;un filtre ou d&rsquo;un circuit oscillant mais sans vous préoccuper de (ou vous ne pouvez pas simuler) votre alimentation, la partie logique ou tout autre partie de votre schéma. Si vous insister pour créer un modèle SPICE pour ces sous-sections de circuit, vous pourriez avoir beaucoup de problèmes â?? et faire beaucoup de travail inutile â?? de manière à trouver ou créer des modèles SPICE pour des zones de votre circuit qui ne sont pas importantes.</div>
  +</li>
  +</ul>
  +
  +<p>
  + C&rsquo;est la raison pour laquelle, je (SDB) recommande normalement de ne pas trop tenter d&rsquo;utiliser le même schéma pour la simulation et pour le placement. Si vous pouvez le faire, c&rsquo;est bien! Mais, habituellement, vous ne le pouvez pas.
  +</p>
  +
  +<p>
  +Personellement, je ne crée des modèles SPICE que pour les sections analogiques critiques de mon circuit. Un projet plus grand devrait donc avoir plusieurs schémas de simulation validant les sous-circuits analogiques. En plus des schémas de simulation, j&rsquo;ai un schéma principal qui est utilisé pour le placement. 
  +</p>
  +
  +</div>
  +<!-- SECTION [5456-] --></div>
   </body>
   </html>
  
  
  
  1.1.6.1   +3538 -1   eda/geda/gaf/docs/wiki/001geda_gschem_ug.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_gschem_ug.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_gschem_ug.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- 001geda_gschem_ug.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_gschem_ug.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,12 +12,3549 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:gschem_ug.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:gschem_ug.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-12-18T18:33:01-0500" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +<div class="toc">
  +<div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  +<div id="toc__inside">
  +
  +<ul class="toc">
  +<li class="level1"><div class="li"><span class="li"><a href="#guide_de_l_utilisateur_gschem_geda" class="toc">Guide de l'utilisateur gschem gEDA</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#about_this_document" class="toc">About this document ...</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#introduction" class="toc">Introduction</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#overview" class="toc">Overview</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#resources" class="toc">Resources</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#how_to_ask_questions" class="toc">How To Ask Questions</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#installing_gschem" class="toc">Installing gschem</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#latest_stable" class="toc">Latest Stable</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#geda_tools_suite_cd-rom" class="toc">&quot;gEDA Tools Suite&quot; CD-ROM</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#debian_distributions" class="toc">Debian distributions</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#red_hat_distributions" class="toc">Red Hat distributions</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#mac_osx_distributions" class="toc">Mac OSX distributions</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#cvs_unstable_testing" class="toc">CVS Unstable/Testing</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#configuring_gschem" class="toc">Configuring gschem</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#gschemrc" class="toc">gschemrc</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#gafrc" class="toc">gafrc</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#lancer_gschem" class="toc">Lancer gschem</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#confirming_gschem_is_installed" class="toc">Confirming gschem is installed</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#the_shell_prompt" class="toc">The Shell Prompt</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#backups" class="toc">Backups</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#classic_linux_backups" class="toc">Classic Linux backups</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#incremental_backups" class="toc">Incremental backups</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#relevant_configuration_settings" class="toc">Relevant configuration settings</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#on-line_documentation" class="toc">On-line documentation</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#electrical_connectivity" class="toc">Electrical Connectivity</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#components_symbols_objects_attributes" class="toc">Components &amp; Symbols &amp; Objects &amp; Attributes</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#components" class="toc">Components</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#symbols" class="toc">Symbols</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#objects" class="toc">Objects</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#attributes" class="toc">Attributes</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#the_main_window" class="toc">The Main Window</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#the_status_window" class="toc">The Status Window</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#the_schematic_file" class="toc">The Schematic File</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#the_symbol_file" class="toc">The Symbol File</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#symbol_libraries" class="toc">Symbol Libraries</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#the_log_file" class="toc">The Log File</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#grips" class="toc">Grips</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#menu_operations" class="toc">Menu Operations</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#file" class="toc">File</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#new_window_fw" class="toc">New Window (fw)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#new_page_fn" class="toc">New Page (fn)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#open_page..._fo" class="toc">Open Page... (fo)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#close_page_pc" class="toc">Close Page (pc)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#revert_page_pr" class="toc">Revert Page (pr)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#save_page_fs" class="toc">Save Page (fs)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#save_page_as..._fa" class="toc">Save Page As... (fa)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#save_all_fl_fl" class="toc">Save All (fl) (fl)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#print..._fp" class="toc">Print... (fp)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#write_png..._fi" class="toc">Write PNG... (fi)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#execute_script..._ft" class="toc">Execute Script... (ft)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#close_window_fc" class="toc">Close Window (fc)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#quit_alt-q" class="toc">Quit (Alt-q)</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#edit" class="toc">Edit</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#undo_shift-u" class="toc">Undo (shift-u)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#redo_shift-r" class="toc">Redo (shift-r)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#select_mode_s" class="toc">Select Mode (s)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#edit..._ee" class="toc">Edit... (ee)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#edit_text..._ex" class="toc">Edit Text... (ex)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#copy_mode_ec" class="toc">Copy Mode (ec)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#move_mode_em" class="toc">Move Mode (em)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#delete_delete-key" class="toc">Delete (Delete-key)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#rotate_90_mode_er" class="toc">Rotate 90 Mode (er)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#mirror_mode_ei" class="toc">Mirror Mode (ei)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#slot..._e_shift-s" class="toc">Slot... (e shift-s)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#color..._eo" class="toc">Color... (eo)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#lock_el_unlock_e_shift-l" class="toc">Lock (el) / Unlock (e shift-l)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#line_width_type..._ew" class="toc">Line Width &amp; Type... (ew)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#fill_type..._ef" class="toc">Fill Type... (ef)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#symbol_translate..._et" class="toc">Symbol Translate... (et)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#embed_component_picture_eb" class="toc">Embed Component/Picture (eb)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#unembed_component_picture_eu" class="toc">Unembed Component/Picture (eu)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#update_component_ep" class="toc">Update Component (ep)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#show_hide_inv_text_en" class="toc">Show/Hide Inv Text (en)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#make_inv_text_vis_ev" class="toc">Make Inv Text Vis (ev)</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#buffer" class="toc">Buffer</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#copy_into_1_2_3_4_5_yc" class="toc">Copy into 1/2/3/4/5 (yc)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#cut_into_1_2_3_4_5_yu" class="toc">Cut into 1/2/3/4/5 (yu)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#paste_from_1_2_3_4_5_yp" class="toc">Paste from 1/2/3/4/5 (yp)</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#view" class="toc">View</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#redraw_vr" class="toc">Redraw (vr)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#pan_x" class="toc">Pan (x)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#zoom_box_w" class="toc">Zoom Box (w)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#zoom_extents_ve" class="toc">Zoom Extents (ve)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#zoom_in_z" class="toc">Zoom In (z)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#zoom_out_z" class="toc">Zoom Out (Z)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#zoom_full_vf" class="toc">Zoom Full (vf)</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#page" class="toc">Page</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#manager..._pm" class="toc">Manager... (pm)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#next" class="toc">Next (&gt;)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#previous" class="toc">Previous (&lt;)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#new_pe" class="toc">New (pe)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#revert_pr" class="toc">Revert (pr)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#close_pc" class="toc">Close (pc)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#discard_pd" class="toc">Discard (pd)</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#add" class="toc">Add</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#component..._i" class="toc">Component... (i)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#net_n" class="toc">Net (n)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#bus_u" class="toc">Bus (u)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#attribute..._aa" class="toc">Attribute... (aa)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#text..._at" class="toc">Text... (at)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#line_l" class="toc">Line (l)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#box_b" class="toc">Box (b)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#circle_ai" class="toc">Circle (ai)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#arc_ar" class="toc">Arc (ar)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#pin_ap" class="toc">Pin (ap)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#picture..._ag" class="toc">Picture... (ag)</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#hierarchy" class="toc">Hierarchy</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#down_schematic_hd" class="toc">Down Schematic (Hd)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#down_symbol_hs" class="toc">Down Symbol (Hs)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#up_hu" class="toc">Up (Hu)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#documentation_ho" class="toc">Documentation (Ho)</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#attributes1" class="toc">Attributes</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#attach_ta" class="toc">Attach (ta)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#detach_td" class="toc">Detach (td)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#show_value_tv" class="toc">Show Value (tv)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#show_name_tn" class="toc">Show Name (tn)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#show_both_tb" class="toc">Show Both (tb)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#toggle_visibility_tt" class="toc">Toggle Visibility (tt)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#find_specific_text..._t_shift-f" class="toc">Find Specific Text... (t shift-f)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#hide_specific_text..._th" class="toc">Hide Specific Text... (th)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#show_specific_text..._t_shift-h" class="toc">Show Specific Text... (t shift-h)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#autonumber_text..._tu" class="toc">Autonumber Text... (tu)</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#options" class="toc">Options</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#text_size..._ot" class="toc">Text Size... (ot)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#toggle_grid_on_off_og" class="toc">Toggle Grid On/Off (og)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#toggle_snap_on_off_os" class="toc">Toggle Snap On/Off (os)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#snap_grid_spacing..._os" class="toc">Snap Grid Spacing... (oS)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#toggle_outline_box_oa" class="toc">Toggle Outline/Box (oa)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#toggle_net_rubberband_or" class="toc">Toggle Net/Rubberband (or)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#show_log_window_ol" class="toc">Show Log Window (ol)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#show_coord_window..._oc" class="toc">Show Coord Window... (oc)</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#help" class="toc">Help</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#about..._ha" class="toc">About... (ha)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#manual..._hm" class="toc">Manual... (hm)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#hotkeys..._hh" class="toc">Hotkeys... (hh)</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#component..._ho" class="toc">Component... (Ho)</a></span></div></li>
  +</ul>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#appendix_a_--_heavy_vs_light_symbol_libraries" class="toc">Appendix A -- Heavy vs Light Symbol Libraries</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#appendix_b_--_printing_schematics_and_symbols" class="toc">Appendix B -- Printing Schematics and Symbols</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#appendix_c_--_writing_guile_scripts" class="toc">Appendix C -- Writing guile Scripts</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#appendix_d_--_i_want_to_build_a_printed_circuit_board" class="toc">Appendix D -- I Want To Build A Printed Circuit Board</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#appendix_e_--_i_want_to_simulate_my_design" class="toc">Appendix E -- I Want To Simulate My Design</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#appendix_f_--_change_gschemdoc_user-defined_preferences" class="toc">Appendix F -- Change gschemdoc User-Defined Preferences</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#appendix_g_--_breaking_a_large_symbol_into_smaller_symbols" class="toc">Appendix G -- Breaking a Large Symbol Into Smaller Symbols</a></span></div></li>
  +<li class="level1"><div class="li"><span class="li"><a href="#appendix_h_--_definition_of_terms" class="toc">Appendix H -- Definition of Terms</a></span></div></li></ul>
   </div>
  +</div>
  +
  +<h1><a name="guide_de_l_utilisateur_gschem_geda" id="guide_de_l_utilisateur_gschem_geda">Guide de l'utilisateur gschem gEDA</a></h1>
  +<div class="level1">
  +
  +<p>
  +by: Ales Hvezda / September 21st, 2003
  +</p>
  +
  +<p>
  +The latest version of this document may be found at: <a href="http://geda.seul.org/wiki/geda:gschem_ug"; class="urlextern" title="http://geda.seul.org/wiki/geda:gschem_ug";  rel="nofollow">http://geda.seul.org/wiki/geda:gschem_ug</a>
  +</p>
  +
  +<p>
  +This document is released under the <a href="http://geda.seul.org/wiki/geda:gfdl"; class="wikilink1" title="geda:gfdl">GNU Free Documentation License (GFDL)</a>.
  +</p>
  +
  +<p>
  + Please report any errors/inconsistencies in this document by commenting in the Discussion area at the bottom of the associated page.
  +</p>
  +
  +</div>
  +<!-- SECTION [1-409] -->
  +<h1><a name="about_this_document" id="about_this_document">About this document ...</a></h1>
  +<div class="level1">
  +
  +<p>
  +gEDA gschem Users Guide
  +</p>
  +
  +<p>
  +This document was generated using the LaTeX2HTML translator Version 2002-2-1 (1.70)
  +</p>
  +
  +<p>
  +Copyright © 1993, 1994, 1995, 1996, Nikos Drakos, Computer Based Learning Unit, University of Leeds. Copyright © 1997, 1998, 1999, Ross Moore, Mathematics Department, Macquarie University, Sydney.
  +</p>
  +
  +<p>
  +The command line arguments were: latex2html -local_icons gschem
  +</p>
  +
  +<p>
  +The translation was initiated by Ales Hvezda on 2005-08-20
  +</p>
  +
  +</div>
  +<!-- SECTION [410-882] -->
  +<h1><a name="introduction" id="introduction">Introduction</a></h1>
  +<div class="level1">
  +
  +<p>
  +This document describes the installation, configuration, and operation of the <strong>gschem</strong> application.<br/>
  + This document does not describe the process of generating schematics. For this, refer to the various tutorials on using the gEDA Tool Suite:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <a href="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/gschem-warmup.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/gschem-warmup.html";  rel="nofollow">Bill Wilson's gschem warmup</a></div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html";  rel="nofollow">Bill Wilson's gsch2pcb tutorial</a></div>
  +</li>
  +<li class="level1"><div class="li"> &ldquo;Optical Proximity Sensor for Robots (Part 1), Simple PCB Design with the gEDA Suite&rdquo;, by Stuart Brorson (March 2006 Circuit Cellar article)</div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www-mdp.eng.cam.ac.uk/urop05/files/gedalib/starting_gEDA.pdf"; class="urlextern" title="http://www-mdp.eng.cam.ac.uk/urop05/files/gedalib/starting_gEDA.pdf";  rel="nofollow">Starting with gEDA at the Cambridge University Engineering Department</a></div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.brorson.com/gEDA/SPICE/t1.html"; class="urlextern" title="http://www.brorson.com/gEDA/SPICE/t1.html";  rel="nofollow">&quot;Circuit simulation using gEDA and SPICE -- HOWTO&quot; by Stuart Brorson</a></div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.circuitcellar.com/magazine/176toc.htm"; class="urlextern" title="http://www.circuitcellar.com/magazine/176toc.htm";  rel="nofollow">&quot;gEDA Design Suite for Linux&quot; by Stuart Brorson, Ales Hvezda, &amp; Dan McMahill (03 Mar 2005 Circuite Cellar article)</a></div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.linuxjournal.com/article/8438"; class="urlextern" title="http://www.linuxjournal.com/article/8438";  rel="nofollow">&quot;Circuit Design on Your Linux Box Using gEDA&quot; by Stuart Brorson (29 November 2005 Linux Journal article)</a></div>
  +</li>
  +<li class="level1"><div class="li"> Optical Proximity Sensor for Robots (Part 1): Simple PCB Design with the gEDA Suite, by Stuart Brorson, Circuit Cellar magazine, March 2006 (Issue 188), p. 48</div>
  +</li>
  +<li class="level1"><div class="li"> And, of course, <a href="http://www.google.com/search?hl=en&lr=&q=gEDA+%22schematic+capture%22+tutorial&btnG=Search"; class="urlextern" title="http://www.google.com/search?hl=en&amp;lr=&amp;q=gEDA+%22schematic+capture%22+tutorial&amp;btnG=Search";  rel="nofollow">Google is your friend</a>.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Ce document suppose que vous comprenez les bases de la capture de schéma. Par exemple: vous comprenez ce quâ??un composant représente et que les liaisons et les bus interconnectent ces composants pour former un schéma, etc... For a basic understanding of the various work-flows available in the gEDA Tool Suite, please read the above tutorials. For more detailed understanding of specific tool issues, please refer to <a href="http://geda.seul.org/wiki/docs:20060124:gschem_ug:how_to_ask_questions"; class="wikilink2" title="docs:20060124:gschem_ug:how_to_ask_questions">How To Ask Questions</a> and to the <a href="http://geda.seul.org/wiki/docs:20060124:gschem_ug:resources"; class="wikilink2" title="docs:20060124:gschem_ug:resources">Resources</a>.
  +</p>
  +
  +</div>
  +<!-- SECTION [883-2936] -->
  +<h1><a name="overview" id="overview">Overview</a></h1>
  +<div class="level1">
  +
  +<p>
  +<strong>gschem</strong> is the schematic capture program in the gEDA Tool Suite. Its purpose is to facilitate the graphical input of:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> circuit schematics</div>
  +</li>
  +<li class="level1"><div class="li"> component symbols</div>
  +</li>
  +<li class="level1"><div class="li"> block diagrams</div>
  +</li>
  +</ul>
  +
  +<p>
  + Once <strong>gschem</strong> has been used to enter the symbols/schematics for your design, several gEDA Tool Suite &ldquo;utility&rdquo; programs are used to extract information for other purposes:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <strong>gattrib</strong> &ndash; <strong>gattrib</strong> is a gEDA Tool Suite productivity aid. <strong>gattrib</strong> reads a [hierarchical set of] gschem schematic files and creates a spreadsheet showing all components in rows, with the associated component attributes listed in the columns. It allows the user to add, modify, or delete component attributes outside of <strong>gschem</strong>, and then save the .sch files.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>gnetlist</strong> &ndash; A tool that converts a [hierarchical set of] schematic files into an equivalent netlist (a textual representation of a schematic) in various formats. Various gnetlist back-ends are used to create:</div>
  +<ul>
  +<li class="level2"><div class="li"> Bill of Materials (BOM) files.</div>
  +</li>
  +<li class="level2"><div class="li"> Design Rule Checks (DRCs).</div>
  +</li>
  +<li class="level2"><div class="li"> Netlist files for use as input to various printed circuit board layout programs (e.g., Allegro, PADS, <strong>pcb</strong> (part of the gEDA Tool Suite), Protell, Tango, RACAL-REDAC, etc.).</div>
  +</li>
  +<li class="level2"><div class="li"> Files for input to SPICE simulation programs.</div>
  +</li>
  +<li class="level2"><div class="li"> VHDL code.</div>
  +</li>
  +<li class="level2"><div class="li"> Verilog code.</div>
  +</li>
  +<li class="level2"><div class="li"> etc.</div>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"> <strong>grenum</strong> &ndash; <strong>grenum</strong> is a gEDA Tool Suite productivity aid that will renumber the reference designators (i.e., refdes) in a [hierarchical set of] schematic files.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>gsch2pcb</strong> &ndash; The prefered method to create a netlist for the <strong>pcb</strong> printed circuit board layout tool (part of the gEDA Tool Suite).</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>gspiceui</strong> &ndash; GNU Spice <acronym title="Graphical User Interface">GUI</acronym> (i.e., <strong>gspiceui</strong>) provides a <acronym title="Graphical User Interface">GUI</acronym> for freely available Spice electronic cicuit simulation engines (e.g., <strong>gnucap</strong> and <strong>ngspice</strong>). It uses <strong>gnetlist</strong> (to convert schematic files to net list files) and <strong>gwave</strong> (to display simulation results). gSchem is the preferred schematic capture tool.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>gsymcheck</strong> &ndash; A checker for symbols created with gEDA Tool Suite (e.g., <strong>gschem</strong>, <strong>tragesym</strong>, etc.).</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>pcb</strong> &ndash; A tool for the layout of printed circuit boards.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>refdes_renum</strong> &ndash; Reads a [hierarchical set of] <strong>gschem</strong> schematic files and renumbers all reference designators. The reference designators are numbered starting with 1 and the old schematic file is replaced by the modified schematic file.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>tragesym</strong> &ndash; A python script that creates geda symbols from structured textfiles. The symbols usually need to be &ldquo;cleaned up&rdquo; in gschem.</div>
  +</li>
  +</ul>
  +<table class="inline">
  +	<tr>
  +		<td> <a href="_detail/001geda_gschem_workflow_01.html" class="media" title="geda:gschem_workflow_01.jpg"><img src="http://geda.seul.org/wiki/_media/geda:gschem_workflow_01.jpg?w=&h=&cache=cache"; class="media" title="gschem_workflow_01.jpg" alt="gschem_workflow_01.jpg" /></a> </td>
  +	</tr>
  +</table>
  +<br />
  +
  +</div>
  +<!-- SECTION [2937-5517] -->
  +<h1><a name="resources" id="resources">Resources</a></h1>
  +<div class="level1">
  +
  +<p>
  +The following on-line resources are useful for designers using <strong>gschem</strong>:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <a href="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/gschem-warmup.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/gschem-warmup.html";  rel="nofollow">Bill Wilson's gschem warmup tutorial</a> &ndash; If you are new to the gEDA tools, read this before you read Bill&rsquo;s &ldquo;Bill Wilson&rsquo;s gsch2pcb tutorial&rdquo;.</div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html";  rel="nofollow">Bill Wilson's gsch2pcb tutorial</a> &ndash; If you are new to the gEDA tools, this tutorial will bring you up to speed quickly.</div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.circuitcellar.com/"; class="urlextern" title="http://www.circuitcellar.com/";  rel="nofollow">Circuit Cellar Magazine</a> &ndash; Practical, hands-on applications and solutions for embedded designers:</div>
  +<ul>
  +<li class="level2"><div class="li"> gEDA Design Suite for Linux, by Stuart Brorson, Ales Hvezda, &amp; Dan McMahill, Circuit Cellar magazine, March 2005 (Issue 176), p. 12</div>
  +</li>
  +<li class="level2"><div class="li"> Optical Proximity Sensor for Robots (Part 1): Simple PCB Design with the gEDA Suite, by Stuart Brorson, Circuit Cellar magazine, March 2006 (Issue 188), p. 48</div>
  +</li>
  +<li class="level2"><div class="li"> Optical Proximity Sensor for Robots (Part 2): Open-Source PCB Layout Editor, by Stuart Borson, Circuit Cellar magazine, April 2006 (Issue 189), p. 40</div>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.geda.seul.org/index.html"; class="urlextern" title="http://www.geda.seul.org/index.html";  rel="nofollow">gEDA Project home page</a> &ndash; The homepage for the gEDA Tools Suite. A rather mature site, includes (but not limited to):</div>
  +<ul>
  +<li class="level2"><div class="li"> <a href="http://www.geda.seul.org/news/index.html"; class="urlextern" title="http://www.geda.seul.org/news/index.html";  rel="nofollow">News</a> &ndash; from 2002</div>
  +</li>
  +<li class="level2"><div class="li"> <a href="http://www.geda.seul.org/screenshots/index.html"; class="urlextern" title="http://www.geda.seul.org/screenshots/index.html";  rel="nofollow">Screenshots</a> &ndash; <strong>gschem</strong> in action</div>
  +</li>
  +<li class="level2"><div class="li"> <a href="http://www.geda.seul.org/tools/index.html"; class="urlextern" title="http://www.geda.seul.org/tools/index.html";  rel="nofollow">Tools</a> &ndash; links to project homepages</div>
  +</li>
  +<li class="level2"><div class="li"> <a href="http://www.geda.seul.org/download.html"; class="urlextern" title="http://www.geda.seul.org/download.html";  rel="nofollow">Download</a> &ndash; <acronym title="International Organization for Standardization">ISO</acronym> images, binaries, and sources</div>
  +</li>
  +<li class="level2"><div class="li"> <a href="http://www.geda.seul.org/mailinglist/index.html"; class="urlextern" title="http://www.geda.seul.org/mailinglist/index.html";  rel="nofollow">Lists</a> &ndash; e-mail list subscription and archives</div>
  +</li>
  +<li class="level2"><div class="li"> <a href="http://www.geda.seul.org/docs/index.html"; class="urlextern" title="http://www.geda.seul.org/docs/index.html";  rel="nofollow">Docs</a> &ndash; current gEDA/gaf documentation (not gEDA Tools Suite documentation), wiki, slide presentations</div>
  +</li>
  +<li class="level2"><div class="li"> <a href="http://www.geda.seul.org/developer.html"; class="urlextern" title="http://www.geda.seul.org/developer.html";  rel="nofollow">Devel</a> &ndash; nonymous <acronym title="Concurrent Versions System">CVS</acronym> access</div>
  +</li>
  +<li class="level2"><div class="li"> <a href="http://www.geda.seul.org/search.html"; class="urlextern" title="http://www.geda.seul.org/search.html";  rel="nofollow">Search</a> &ndash; by Google</div>
  +</li>
  +<li class="level2"><div class="li"> <a href="http://www.geda.seul.org/links.html"; class="urlextern" title="http://www.geda.seul.org/links.html";  rel="nofollow">Links</a> &ndash; links associated with gEDA, projects developed using gEDA Tools Suite, gEDA-related press</div>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://sourceforge.net/tracker/?group_id=161080&atid=818426"; class="urlextern" title="http://sourceforge.net/tracker/?group_id=161080&amp;atid=818426";  rel="nofollow">gEDA Bug Tracker</a> &ndash; On SourceForge</div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://geda.seul.org/dokuwiki/doku.php?id=geda"; class="urlextern" title="http://geda.seul.org/dokuwiki/doku.php?id=geda";  rel="nofollow">gEDA Project's Wiki</a> &ndash; All things related to the gEDA Tools Suite.</div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.gedasymbols.org/"; class="urlextern" title="http://www.gedasymbols.org";  rel="nofollow">gedasymbols.org</a> &ndash; On-line repository of user-developed symbols for <strong>gschem</strong>, SPICE models, and footprints for <strong>pcb</strong>.</div>
  +</li>
  +<li class="level1"><div class="li"> John C. Luciani&rsquo;s proposed <a href="http://www.luciani.org/geda/pcb/footprint-name-spec.pdf"; class="urlextern" title="http://www.luciani.org/geda/pcb/footprint-name-spec.pdf";  rel="nofollow">Land Pattern Naming Convention</a> &ndash; Footprint naming conventions document, used by him, and often used by those submitting to the <a href="http://www.gedasymbols.org/"; class="urlextern" title="http://www.gedasymbols.org";  rel="nofollow">gedasymbols.org</a> symbols/footprint repository.</div>
  +</li>
  +<li class="level1"><div class="li"> John C. Luciani&rsquo;s <a href="http://geda.seul.org/shared/HomePages/dhart/index.html"; class="urlextern" title="file:///shared/HomePages/dhart/index.html"  rel="nofollow">PCB Footprints Library</a> &ndash; A rather inclusive collection of non-<acronym title="GNU General Public License">GPL</acronym> licensed footprints and the scripts used to create them.</div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.opencollector.org/"; class="urlextern" title="http://www.opencollector.org/";  rel="nofollow">OpenCollector</a> carries listings and news for free EDA software and circuit designs. Open Collector supports gEDA.</div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://alternatezone.com/electronics/files/PCBDesignTutorialRevA.pdf"; class="urlextern" title="http://alternatezone.com/electronics/files/PCBDesignTutorialRevA.pdf";  rel="nofollow">PCB Design Tutorial; RevA</a> &ndash; Document describing the process of taking a schematic to a pcb. Excellent for the beginner.</div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://pcblibraries.com/"; class="urlextern" title="http://pcblibraries.com/";  rel="nofollow">PCB Libraries website</a> &ndash; Advocates of the IPC standard Land Pattern (a.k.a., footprint) Naming Conventions and Land Pattern Calculators. A useful site if you have the money to subscribe to the services.</div>
  +<ul>
  +<li class="level2"><div class="li"> The free (windows-based) <a href="http://landpatterns.ipc.org/default.asp"; class="urlextern" title="http://landpatterns.ipc.org/default.asp";  rel="nofollow">IPC-7351 Land Pattern Viewer</a> is a very useful tool for viewing the latest IPC footprints.</div>
  +</li>
  +<li class="level2"><div class="li"> <a href="http://www.pcblibraries.com/resources/LibDoc.asp"; class="urlextern" title="http://www.pcblibraries.com/resources/LibDoc.asp";  rel="nofollow">CAD Data Files to be used with PCB Libraries' IPC-7351A LP Programs</a> &ndash; please note the license restrictions.</div>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www-mdp.eng.cam.ac.uk/urop05/files/gedalib/starting_gEDA.pdf"; class="urlextern" title="http://www-mdp.eng.cam.ac.uk/urop05/files/gedalib/starting_gEDA.pdf";  rel="nofollow">Starting with gEDA at the Cambridge University Engineering Department</a> &ndash; The Cambridge University Engineering Department&rsquo;s tutorial for using gEDA.</div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.brorson.com/gEDA/"; class="urlextern" title="http://www.brorson.com/gEDA/";  rel="nofollow">Stuart Brorson's gEDA tools and tips</a> &ndash; gEDA related tools and information.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [5518-9819] -->
  +<h1><a name="how_to_ask_questions" id="how_to_ask_questions">How To Ask Questions</a></h1>
  +<div class="level1">
  +
  +<p>
  +<strong>gschem</strong> is an OpenSource, community driven, development. As such, the emphasis has been on developing the gEDA Tools Suite, not on developing commercial-level documentation and support. Much of the burden on learning how to use the gEDA Tools Suite applications is placed on the user, who must understand the basics of electronics, Electronics Design Automation (EDA), and the terminology used in schematic capture, circuit simulation, and printed circuit board design.<br/>
  + As a typical OpenSource development, the gEDA Tools Suite development community provides timely and insightful response to user inquiries, but please perform the following steps before bothering the developers (they need to focus on making gEDA Tools Suite applications better, and you can actually learn to answer your own questions and become independent of the developers):
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Read Rick Moen&rsquo;s <a href="http://www.catb.org/~esr/faqs/smart-questions.html"; class="urlextern" title="http://www.catb.org/~esr/faqs/smart-questions.html";  rel="nofollow">How To Ask Questions The Smart Way</a>, about how to ask for help. This is a must read for everybody.</div>
  +</li>
  +<li class="level1"><div class="li"> Read this document. I know, it&rsquo;s a lot to expect engineers to actually read a user&rsquo;s guide. The latest version of this document is maintained on the gEDA web-site at <span class="hilited">TBD</span>. The information should be here. If it isn&rsquo;t, comment to the fact in one of the on-line document&rsquo;s &ldquo;Discussion&rdquo; areas (at the bottom of each wiki-page). Helpful comments are clear, to the point, and may even contain the wording that should be inserted into the document.</div>
  +</li>
  +<li class="level1"><div class="li"> Read the <a href="http://geda.seul.org/dokuwiki/doku.php?id=geda:faq-gschem"; class="urlextern" title="http://geda.seul.org/dokuwiki/doku.php?id=geda:faq-gschem";  rel="nofollow">gschem Frequently Asked Questions (FAQ)</a> wiki-page. This on-line document is updated often to reflect user and developer experiences with <strong>gschem</strong>.</div>
  +</li>
  +<li class="level1"><div class="li"> Read the <a href="http://www.geda.seul.org/docs/current/index.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/index.html";  rel="nofollow">gEDA/gaf Documentation</a>. This information was installed on your computer if the gEDA Tools Suite was installed from the gEDA Tools Suite CD-ROM.</div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.google.com/"; class="urlextern" title="http://www.google.com";  rel="nofollow">Google</a> is your friend. People that have asked questions that obviously didnâ??t do any simple Google search will not be treated well when asking for help. This is particularly true if your problem is not unique to the gEDA Tools Suite applications.</div>
  +</li>
  +<li class="level1"><div class="li"> Ask for a pointer to the right direction. It is considered good &ldquo;net etiquette&rdquo; to ask for a pointer to information, so that you can learn where such information can be found, rather than have someone search the information for you.</div>
  +</li>
  +<li class="level1"><div class="li"> If you are software literate, look at the source code to see what it is doing.</div>
  +</li>
  +<li class="level1"><div class="li"> Subscribe to the gEDA e-mail lists (i.e., you can only post to the e-mail lists if you are a subscriber). Then:</div>
  +<ol>
  +<li class="level2"><div class="li"> Start reading recent messages in the <a href="http://archives.seul.org/geda/user/"; class="urlextern" title="http://archives.seul.org/geda/user/";  rel="nofollow">geda-user e-mail list archives</a>. Get a feel for the list&rsquo;s ettiquite so that you learn how to properly ask questions.</div>
  +</li>
  +<li class="level2"><div class="li"> Search the archives for issues similar to yours. You may find the question has been asked of the developers and users before, and answered.</div>
  +</li>
  +<li class="level2"><div class="li"> In the event that you can find no information concerning your problem, submit a concise description of the problem and a request for the type of help you are requesting.</div>
  +</li>
  +</ol>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [9820-13001] -->
  +<h1><a name="installing_gschem" id="installing_gschem">Installing gschem</a></h1>
  +<div class="level1">
  +
  +<p>
  +As a mature OpenSource project, the gEDA Tools Suite and its components have been installed on many Linux distributions. The following are by no means the only methods of installing the gEDA Tools Suite and/or its components.
  +</p>
  +
  +</div>
  +<!-- SECTION [13002-13260] -->
  +<h2><a name="latest_stable" id="latest_stable">Latest Stable</a></h2>
  +<div class="level2">
  +
  +<p>
  +<strong>gschem</strong> is a component of the gEDA/gaf set of tools which tend to integrate together in the development and maintenance of schematics and symbols. The term &ldquo;gaf&rdquo; stands for &ldquo;<em class="u">g</em>EDA <em class="u">a</em>nd <em class="u">f</em>riends&rdquo;). The gEDA/gaf applications are actually rather stable, and receive significant testing prior to release.<br/>
  + There are multiple methods of installing <strong>gschem</strong>. The appropriate method depends on your distribution. See the following for some examples.
  +</p>
  +
  +</div>
  +<!-- SECTION [13261-13745] -->
  +<h3><a name="geda_tools_suite_cd-rom" id="geda_tools_suite_cd-rom">&quot;gEDA Tools Suite&quot; CD-ROM</a></h3>
  +<div class="level3">
  +
  +<p>
  +The recommended method is installation from the &ldquo;gEDA Tools Suite&rdquo; CD-ROM, gratefully prepared by Stuart Brorson. The latest version of this CD-ROM is available on-line for free download as an <acronym title="International Organization for Standardization">ISO</acronym> image from the <a href="http://www.geda.seul.org/download.html"; class="urlextern" title="http://www.geda.seul.org/download.html";  rel="nofollow">gEDA Downloads</a> web-page. Simply burn this <acronym title="International Organization for Standardization">ISO</acronym> image to a CD-ROM using your favorite CD burning software (e.g., K3b, ...). Insert the CD-ROM, and if your computer supports autodetection of the CD-ROM, the built-in installation wizzard will launch. This wizzard will first check if your computer has some required software (informing you if you don&rsquo;t and optionally installing these if you want), then build all of the &ldquo;gEDA Tool Suite&rdquo; applications (including <strong>gschem</strong>) from source. The whole process can take 2 hours on a slower computer.
  +</p>
  +
  +<p>
  +If the installation wizzard did not launch, enter as follows to install the gEDA Tool Suite for access by all users on this computer (i.e., when prompted for the installation directory, enter something like: â??/usr/local/gEDA-20060124â??): <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0"><strong>sudo sh /media/cdrecorder/installer &ndash;log &ndash;verbose</strong></font></pre>
  +</p>
  +
  +<p>
  +The above command requires superuser permissions configured for the user invoking the command. If you do not have the proper permissions to execute this command, ask your administrator to install the gEDA Tool Suite for you.
  +</p>
  +
  +<p>
  +If the installation wizzard did not launch, enter as follows to install the gEDA Tool Suite for access by just the user doing the installation(i.e., when prompted for the installation directory, accept the default &ldquo;/home/{login id}/geda-install&rdquo;): <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0"><strong>sh /media/cdrecorder/installer &ndash;log &ndash;verbose</strong></font></pre>
  +</p>
  +
  +</div>
  +<!-- SECTION [13746-15432] -->
  +<h3><a name="debian_distributions" id="debian_distributions">Debian distributions</a></h3>
  +<div class="level3">
  +
  +<p>
  +For Debian distributions, you may wish to download the <a href="http://www.geda.seul.org/download.html"; class="urlextern" title="http://www.geda.seul.org/download.html";  rel="nofollow">latest DEB binaries</a> prepared by Hamish Moffatt. These are not always current with the latest &ldquo;gEDA Tools Suite&rdquo; <acronym title="International Organization for Standardization">ISO</acronym> image above, and do not include many of the other tools available on the &ldquo;gEDA Tools Suite&rdquo; <acronym title="International Organization for Standardization">ISO</acronym> image.
  +</p>
  +
  +</div>
  +<!-- SECTION [15433-15781] -->
  +<h3><a name="red_hat_distributions" id="red_hat_distributions">Red Hat distributions</a></h3>
  +<div class="level3">
  +
  +<p>
  +For Red Hat distributions (and possibly others) you may wish to download the latest RPM binaries]] prepared by Wojciech Kazubski.
  +</p>
  +
  +</div>
  +<!-- SECTION [15782-15944] -->
  +<h3><a name="mac_osx_distributions" id="mac_osx_distributions">Mac OSX distributions</a></h3>
  +<div class="level3">
  +
  +<p>
  +For Mac OSX distributions you may wish to download the <a href="http://www.geda.seul.org/download.html"; class="urlextern" title="http://www.geda.seul.org/download.html";  rel="nofollow">latest Fink binaries</a> prepared by Charles Lepple.
  +</p>
  +
  +</div>
  +<!-- SECTION [15945-16124] -->
  +<h2><a name="cvs_unstable_testing" id="cvs_unstable_testing">CVS Unstable/Testing</a></h2>
  +<div class="level2">
  +
  +<p>
  +For those already familiar with the gEDA/gaf applications on the &ldquo;gEDA Tools Suite&rdquo; CD-ROM, access to the <acronym title="Concurrent Versions System">CVS</acronym> repository is available. This is the latest developer source-code version of the application.<br/>
  + Installation from <acronym title="Concurrent Versions System">CVS</acronym> is appropriate for those:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Seeking a solution to a specific problem that was submitted to the development team, to test the &ldquo;fix&rdquo; so that the developers can be informed that the &ldquo;fix&rdquo; works.</div>
  +</li>
  +<li class="level1"><div class="li"> With significant working knowledge of the &ldquo;gEDA Tools Suite&rdquo; and industry expertise, wishing to test the latest version of the application(s) prior to the next release. This usually requires access to several existing designs known to work in the current stable release of the &ldquo;gEDA Tools Suite&rdquo; <acronym title="International Organization for Standardization">ISO</acronym> image, so that comparisons can be made and issues brought to the attention of the developer/user community (via the e-mail lists).</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [16125-17015] -->
  +<h1><a name="configuring_gschem" id="configuring_gschem">Configuring gschem</a></h1>
  +<div class="level1">
  +
  +<p>
  +Assume that you have installed the gEDA Tools Suite from CD-ROM (the most common installation method), and that you are ready to configure <strong>gschem</strong> to your personal likes. When installing, you were prompted for the path where the gEDA executables would be placed. The default was the <strong>/home/{login id}/geda-install</strong> directory, where {login id} is the username you logged into your account with, but you may have changed this to another directory on the computer. This directory is referred to below as the <strong>{binary-install-path}</strong> because this is where the gEDA binary executables are placed. If you forgot where the binaries were installed, simply issue the following command to find where <strong>gschem</strong> is installed (in this case the {binary-install-path} is <strong>/usr/local/gEDA-20060124</strong>): <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">% which gschem
  +/usr/local/gEDA-20060124/bin/gschem
  +%</font></pre>
  +</p>
  +
  +<p>
  +The gEDA Tools Suite applications follow normal Unix/Linux conventions for placement of configuration files; there are usually system-wide, user-wide, and project-specific configuration settings. The system-wide settings are placed in a sub-directory of the {binary-install-path}. The user-wide settings are placed in the user&rsquo;s home directory, also known as the login directory (referred to in Unix/Linux parlance as the <strong>$HOME</strong> directory). The project-specific settings are placed in a project-specific directory.<br/>
  +
  +</p>
  +
  +<p>
  +Now that we know the above, we can configure <strong>gschem</strong>.<br/>
  + <strong>gschem</strong> is highly configurable. All configuration is handled through the following resource files (written using the GNU Guile programming language, an interpreter for Scheme, a version of Lisp):
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <strong>system-gschemrc</strong>: The system-wide initialization file for <strong>gschem</strong>. Installed in the {binary-install-path}/share/gEDA/system-gschemrc file, it is required for <strong>gschem</strong> to run. Users should not modify this file, but should override the settings in this file by creating their own $HOME/gschemrc file and/or &lsquo;pwd&rsquo;/gschemrc file and putting the new settings in those files. The {binary-install-path} directory is where your gEDA/gaf  executables were installed, including <strong>gschem</strong>. Depends on your install method. Refer to <a href="http://geda.seul.org/wiki/docs:20060124:gschem_ug:installing_gschem"; class="wikilink2" title="docs:20060124:gschem_ug:installing_gschem">Installing gschem</a> for more details.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>$HOME/gschemrc</strong>: The per-user initialization file for <strong>gschem</strong>. Created by the user in the user&rsquo;s home directory. Settings placed in this file will override settings in the system-gschemrc file. Users should put settings in this file they want to apply to all of their sessions, such as (to change the default black background color scheme to a light background color scheme):</div>
  +</li>
  +</ul>
  +
  +<p>
  +<pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">; Load up a color scheme
  +(load (string-append gedadatarc &quot;/gschem-lightbg&quot;)) ; light background</font></pre>
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <strong>&lsquo;pwd&rsquo;/gschemrc</strong>: The per-project initialization file for <strong>gschem</strong>. Created by the user in the user&rsquo;s project directory. Settings placed in this file will override settings in both the system-gschemrc file and the $HOME/gschemrc file. Users should put settings in this file they want to apply to this particular project, such as (to autonumber reference designators when components are placed on the schematic):</div>
  +</li>
  +</ul>
  +
  +<p>
  +<pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">; Comment in this scheme code if you want automatic numbering when
  +; placing new component and copying components
  +;
  +(load (string-append gedadata &quot;/scheme/auto-uref.scm&quot;))
  +(add-hook! add-component-hook auto-uref)</font></pre>
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <strong>system-gafrc</strong>: The system-wide initialization file for gEDA/gaf applications (includes <strong>gschem</strong>, <strong>gnetlist</strong>). Installed in the {binary-install-path}/share/gEDA/system-gafrc file, it is required for <strong>gschem</strong> to run. Users should not modify this file, but should override the settings in this file by creating their own $HOME/gafrc file and/or &lsquo;pwd&rsquo;/gafrc file and putting the new settings in those files. The {binary-install-path} directory is where your gEDA/gaf  executables were installed, including <strong>gschem</strong>. Depends on your install method. Refer to <a href="http://geda.seul.org/wiki/docs:20060124:gschem_ug:installing_gschem"; class="wikilink2" title="docs:20060124:gschem_ug:installing_gschem">Installing gschem</a> for more details.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>$HOME/gafrc</strong>: The per-user initialization file for gEDA/gaf applications. Created by the user in the user&rsquo;s home directory. Settings placed in this file will override settings in the system-gafrc file. Users should put settings in this file they want to apply to all of their sessions. </div>
  +</li>
  +<li class="level1"><div class="li"> <strong>&lsquo;pwd&rsquo;/gafrc</strong>: The per-project initialization file for the gEDA/gaf applications. Created by the user in the user&rsquo;s project directory. Settings placed in this file will override settings in both the system-gafrc file and the $HOME/gafrc file. Users should put settings in this file they want to apply to this particular project. Settings such as the <strong>component-library</strong> or <strong>source-library</strong> keywords go into this file.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>gschem-gtkrc</strong>: Installed in the {binary-install-path}/share/gEDA/gschem-gtkrc file. Used to define the font for all gtk+ widgets in <strong>gschem</strong>.</div>
  +</li>
  +</ul>
  +
  +<p>
  + A few comments about changing the files:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Don&rsquo;t break any guile syntax rules. Doing so will cause the scheme interpreter (guile) to stop interpreting.</div>
  +</li>
  +<li class="level1"><div class="li"> To add a setting to the $HOME/gschemrc file (or to the &lsquo;pwd&rsquo;/gschemrc file), copy the setting&rsquo;s text from the {binary-install-directory}/share/gEDA/system-gschemrc file to the $HOME/gschemrc file (or the &lsquo;pwd&rsquo;/gschemrc file) and make the setting change there.</div>
  +</li>
  +<li class="level1"><div class="li"> To add a setting to the $HOME/gafrc file (or the &lsquo;pwd&rsquo;/gafrc file), copy the relevant setting&rsquo;s text from the {binary-install-directory}/share/gEDA/system-gafrc file to the $HOME/gafrc file (or the &lsquo;pwd&rsquo;/gafrc file) and make the setting change there.</div>
  +</li>
  +<li class="level1"><div class="li"> Keywords/defaults always override what came before, with the exception of cumulative keywords (like component-library).</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [17016-22736] -->
  +<h2><a name="gschemrc" id="gschemrc">gschemrc</a></h2>
  +<div class="level2">
  +
  +<p>
  +The {binary-install-path}/share/gEDA/system-gschemrc file is well commented. Read this file for more details on the settings available.<br/>
  + Some of the settings appropriate for override (by placing in either the user&rsquo;s $HOME/gschemrc file or the project&rsquo;s &lsquo;pwd&rsquo;/gschemrc file) are:
  +</p>
  +
  +</div>
  +<!-- SECTION [22737-23038] -->
  +<h2><a name="gafrc" id="gafrc">gafrc</a></h2>
  +<div class="level2">
  +
  +<p>
  +The {binary-install-path}/share/gEDA/system-gafrc file is well commented. Read this file for more details on the settings available.<br/>
  + Some of the settings appropriate for override (by placing in either the user&rsquo;s $HOME/gafrc file or the project&rsquo;s &lsquo;pwd&rsquo;/gafrc file) are:
  +</p>
  +
  +</div>
  +<!-- SECTION [23039-23328] -->
  +<h1><a name="lancer_gschem" id="lancer_gschem">Lancer gschem</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [23329-23357] -->
  +<h2><a name="confirming_gschem_is_installed" id="confirming_gschem_is_installed">Confirming gschem is installed</a></h2>
  +<div class="level2">
  +
  +<p>
  +You should determine if <strong>gschem</strong> has been correctly installed on your Linux computer.<br/>
  + Log into your Linux account, and launch your favorite interactive shell. The different Linux distributions will usually offer more than one interactive shell such as xterm, gnome-term, konsole, etc.<br/>
  + You will see a shell prompt, which will depend on your Linux distribution and on your selection of interactive shell. It is common practice in Linux documentation to refer to the user&rsquo;s interactive login shell prompt as &ldquo;<strong>%</strong>&ldquo;, and to refer to the superuser&rsquo;s (i.e., user &ldquo;root&rdquo;) interactive login shell prompt as &ldquo;<strong>#</strong>&ldquo;.<br/>
  + At the shell prompt, enter the following commands to determine if <strong>gschem</strong> is installed:
  +</p>
  +
  +<p>
  +<pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">% <strong>echo $PATH</strong>
  +<strong>/usr/local/gEDA-20060124/bin</strong>:/usr/kerberos/bin:/usr/local/bin:/bin:/usr/bin:/usr/X11R6/bin:/home/<strong>gEDA</strong>/bin
  +% <strong>which gschem</strong>
  +/usr/local/gEDA-20060124/bin/gschem
  +% <strong>ldd /usr/local/gEDA-20060124/bin/gschem</strong>
  +    linux-gate.so.1 =&gt;  (0x00345000)
  +    libgeda.so.25 =&gt; /usr/local/gEDA-20060124/lib/libgeda.so.25 (0x00d7d000)
  +    libguile.so.12 =&gt; /usr/lib/libguile.so.12 (0x00588000)
  +    libguile-ltdl.so.1 =&gt; /usr/lib/libguile-ltdl.so.1 (0x00b62000)
  +    libqthreads.so.12 =&gt; /usr/lib/libqthreads.so.12 (0x009f7000)
  +    libpthread.so.0 =&gt; /lib/libpthread.so.0 (0x006d4000)
  +    libcrypt.so.1 =&gt; /lib/libcrypt.so.1 (0x03266000)
  +    libgdk-x11-2.0.so.0 =&gt; /usr/lib/libgdk-x11-2.0.so.0 (0x00c10000)
  +    libgdk_pixbuf-2.0.so.0 =&gt; /usr/lib/libgdk_pixbuf-2.0.so.0 (0x006ac000)
  +    libm.so.6 =&gt; /lib/libm.so.6 (0x008df000)
  +    libpangoxft-1.0.so.0 =&gt; /usr/lib/libpangoxft-1.0.so.0 (0x003e7000)
  +    libpangox-1.0.so.0 =&gt; /usr/lib/libpangox-1.0.so.0 (0x00a57000)
  +    libpango-1.0.so.0 =&gt; /usr/lib/libpango-1.0.so.0 (0x00bd8000)
  +    libgobject-2.0.so.0 =&gt; /usr/lib/libgobject-2.0.so.0 (0x00a01000)
  +    libgmodule-2.0.so.0 =&gt; /usr/lib/libgmodule-2.0.so.0 (0x009fb000)
  +    libdl.so.2 =&gt; /lib/libdl.so.2 (0x00906000)
  +    libglib-2.0.so.0 =&gt; /usr/lib/libglib-2.0.so.0 (0x0448e000)
  +    libgtk-x11-2.0.so.0 =&gt; /usr/lib/libgtk-x11-2.0.so.0 (0x06a81000)
  +    libatk-1.0.so.0 =&gt; /usr/lib/libatk-1.0.so.0 (0x00c96000)
  +    libSM.so.6 =&gt; /usr/X11R6/lib/libSM.so.6 (0x00d4f000)
  +    libICE.so.6 =&gt; /usr/X11R6/lib/libICE.so.6 (0x00d33000)
  +    libX11.so.6 =&gt; /usr/X11R6/lib/libX11.so.6 (0x00921000)
  +    libc.so.6 =&gt; /lib/libc.so.6 (0x007b4000)
  +    /lib/ld-linux.so.2 (0x00796000)
  +    libXrandr.so.2 =&gt; /usr/X11R6/lib/libXrandr.so.2 (0x00bac000)
  +    libXi.so.6 =&gt; /usr/X11R6/lib/libXi.so.6 (0x00cca000)
  +    libXinerama.so.1 =&gt; /usr/X11R6/lib/libXinerama.so.1 (0x00bb2000)
  +    libXft.so.2 =&gt; /usr/X11R6/lib/libXft.so.2 (0x00ad1000)
  +    libfreetype.so.6 =&gt; /usr/lib/libfreetype.so.6 (0x00af8000)
  +    libfontconfig.so.1 =&gt; /usr/lib/libfontconfig.so.1 (0x00111000)
  +    libXfixes.so.3 =&gt; /usr/X11R6/lib/libXfixes.so.3 (0x00d0d000)
  +    libXcursor.so.1 =&gt; /usr/X11R6/lib/libXcursor.so.1 (0x00bcc000)
  +    libXrender.so.1 =&gt; /usr/X11R6/lib/libXrender.so.1 (0x00ba2000)
  +    libXext.so.6 =&gt; /usr/X11R6/lib/libXext.so.6 (0x00a82000)
  +    libpangoft2-1.0.so.0 =&gt; /usr/lib/libpangoft2-1.0.so.0 (0x05362000)
  +    libexpat.so.0 =&gt; /usr/lib/libexpat.so.0 (0x0068b000)
  +    libz.so.1 =&gt; /usr/lib/libz.so.1 (0x0090c000)</font></pre>
  +</p>
  +
  +<p>
  +The <strong>echo $PATH</strong> command displays the user&rsquo;s current setting for the <strong>PATH</strong> environment variable. In the above example for a Fedora Core 4 installation, user <strong>gEDA</strong> executed the command and determined that the <strong>PATH</strong> included the /usr/local/gEDA-20060124/bin directory (this will differ depending on where you put the gEDA Tools Suite executables). If you installed from the gEDA Tools Suite CD-ROM (the most common method of installing the gEDA tools), as the last step of the installation wizzard you were prompted to:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Set your $PATH environment variable to {the directory in which the install wizzard installed gEDA&rsquo;s executables}</div>
  +</li>
  +<li class="level1"><div class="li"> Set your $LD_LIBRARY_PATH environment variable to {the directory in which the install wizzard installed gEDA&rsquo;s libraries}</div>
  +</li>
  +</ol>
  +
  +<p>
  + The <strong>which</strong> command displays the full path of a command&rsquo;s executable, searching for the command on the user&rsquo;s list of directories, as defined in the <strong>PATH</strong> environment variable. In this case, it will return the full path to the <strong>gschem</strong> executable if it is on the user&rsquo;s <strong>PATH</strong>. If this command does not return the full path to the <strong>gschem</strong> executable, make sure your <strong>PATH</strong> environment variable has been properly set.
  +</p>
  +
  +<p>
  +The <strong>ldd</strong> command displays shared libraries required by a program. All of the requested libraries must be found for <strong>gschem</strong> to run. Don&rsquo;t be intimidated by the long list of libraries, this is common for mature Linux applications. If we had not received this output (or something very similar), we would have to check on our setting for the <strong>LD_LIBRARY_PATH</strong> environment variable.
  +</p>
  +
  +<p>
  +And of course, there is always attempting to just run <strong>gschem</strong> as follows: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">%  <strong>gschem -h</strong>
  +Usage: gschem [OPTIONS] schematic_filename1 ... schematic_filenameN
  +  -q            Quiet mode
  +  -v            Verbose mode on
  +  -r filename   Rc filename
  +  -s filename   Script (guile) filename
  +  -o filename   Output filename (for printing)
  +  -p            Automatically place the window
  +  -t            Print stroke information
  +  -h            Help; this message</font></pre>
  +</p>
  +
  +<p>
  +where we displayed the <strong>gschem</strong> help.
  +</p>
  +
  +<p>
  +If these commands do not return the expected results, then most likely the gEDA tools are not installed properly. Please see the appropriate INSTALL docs (which came with the gEDA distribution) for more info on installing the gEDA tools. If you installed from the gEDA Tools Suite CD-ROM, read the INSTALL document on the CD-ROM first.<br/>
  +
  +</p>
  +
  +</div>
  +<!-- SECTION [23358-29063] -->
  +<h2><a name="the_shell_prompt" id="the_shell_prompt">The Shell Prompt</a></h2>
  +<div class="level2">
  +<table class="inline">
  +	<tr>
  +		<td> <a href="_detail/001geda_terminal_screenshot_001.html" class="media" title="geda:terminal_screenshot_001.jpg"><img src="http://geda.seul.org/wiki/_media/geda:terminal_screenshot_001.jpg?w=&h=&cache=cache"; class="media" title="terminal_screenshot_001.jpg" alt="terminal_screenshot_001.jpg" /></a> </td>
  +	</tr>
  +	<tr>
  +		<td> Figure 1 &ndash; gnome-terminal </td>
  +	</tr>
  +</table>
  +<br />
  +
  +<p>
  + You launch <strong>gschem</strong> from your favorite shell prompt (in this case, gnome-terminal on Fedora Core 4).<br/>
  + There are several command-line switches:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <strong>-q</strong> &ndash; Quiet mode<br/>
  + Turns on &ldquo;quiet mode&rdquo;. Output (i.e., stdout and stderr) is not generated to the shell. Useful if calling <strong>gschem</strong> from a script (e.g., bash, sh, csh, etc.) to perform batch operations such as printing a large number of schematics or symbols.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>-v</strong> &ndash; Verbose mode ON<br/>
  + Generate verbose information. Not used very much in <strong>gschem</strong>.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>-r filename</strong> &ndash; Process additional configuration settings from <strong>filename</strong><br/>
  + <strong>filename</strong> is a file containing settings of the form found in either system-gschemrc or system-gafrc<br/>
  + In addition to the following files, <strong>gschem</strong> will process <strong>filename</strong> for additional configuration settings:<br/>
  +   * {binary-install-path}/share/gEDA/system-gschemrc<br/>
  +   * $HOME/gschemrc<br/>
  +   * &lsquo;pwd&rsquo;/gschemrc<br/>
  +   * {binary-install-path}/share/gEDA/system-gafrc<br/>
  +  * $HOME/gafrc<br/>
  +   * &lsquo;pwd&rsquo;/gafrc</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>-s filename</strong> &ndash; Process <strong>filename</strong> as a guile script<br/>
  + The name of a script file (written in guile) for <strong>gschem</strong> to process.<br/>
  + Refer to <a href="http://geda.seul.org/wiki/docs:20060124:gschem_ug:app_b"; class="wikilink2" title="docs:20060124:gschem_ug:app_b">Appendix B -- Printing Schematics and Symbols</a> and <a href="http://geda.seul.org/wiki/docs:20060124:gschem_ug:app_c"; class="wikilink2" title="docs:20060124:gschem_ug:app_c">Appendix C -- Writing guile Scripts</a> for more details.<br/>
  + For example, in your $HOME/geda-sources/gedagaf/{gEDA Tools Suite version}/scripts/ directory is the <strong>print.scm</strong> file, a template script file directing <strong>gschem</strong> to generate a PostScript file of a schematic appropriate for printing. This <strong>print.scm</strong> file works as is, but you may want to customize it for your own needs. To print the schematic_1.sch schematic to the schematic_1.ps PostScript file from within a bash script, you would enter: <code>gschem  -q -p -o schematic_1.ps -s print.scm schematic_1.sch</code><br/>
  + Then, simply use your favorite printing solution to print the file, such as: <code>lp schematic_1.ps</code><br/>
  + to a PostScript printer.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>-o filename</strong> &ndash; Output <strong>filename</strong> (e.g., for printing)<br/>
  + Specify the output filename. For example, as used to specify the Postscript filename in the example above.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>-p</strong> &ndash; Automatically place the window<br/>
  + Don&rsquo;t wait for the windowmanager to place the window.<br/>
  + When a new window is opened, some window managers display the bounding box of the window and wait for the user to place the window manually. The &ldquo;-p&rdquo; option avoids that, letting the window manager decide where to put the new window.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>-t</strong> &ndash; Print stroke information<br/>
  + </div>
  +</li>
  +<li class="level1"><div class="li"> <strong>-h</strong> &ndash; Help<br/>
  + Generate a short usage printout.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Running <strong>gschem</strong> is straightforward once you have installed it on your GNU/Linux system.<br/>
  + Although <strong>gschem</strong> is a <acronym title="Graphical User Interface">GUI</acronym> application, <strong>gschem</strong> is meant to be launched from the command-line, as it takes command-line arguements (see Figure 1 above).<br/>
  + To open an empty schematic, run: <code><strong>% gschem</strong></code><br/>
  +
  +</p>
  +
  +<p>
  +To open a specific schematic, run: <code><strong>% gschem schematic_name.sch</strong></code><br/>
  +
  +</p>
  +
  +<p>
  +To open a list of schematics, run: <code><strong>% gschem schematic_1.sch schematic_2.sch, schematic_3.sch ...</strong></code><br/>
  + or use wildcards to specify multiple filenames: <code><strong>% gschem schematic_*.sch</strong></code>
  +</p>
  +
  +<p>
  +As operations are performed in the <strong>gschem</strong> <a href="http://geda.seul.org/wiki/docs:20060124:gschem_ug:the_main_window"; class="wikilink2" title="docs:20060124:gschem_ug:the_main_window">Main Window</a> the <strong>gschem</strong> <a href="http://geda.seul.org/wiki/docs:20060124:gschem_ug:the_status_window"; class="wikilink2" title="docs:20060124:gschem_ug:the_status_window">Status Window</a> continues to output information, and the shell prompt&rsquo;s window continues to output information, consisting of:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> ...</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [29064-32598] -->
  +<h2><a name="backups" id="backups">Backups</a></h2>
  +<div class="level2">
  +
  +<p>
  +There are two basic mechanisms in <strong>gschem</strong> for backing up schematics and symbols, classic Linux backups and incremental backups.
  +</p>
  +
  +</div>
  +<!-- SECTION [32599-32750] -->
  +<h3><a name="classic_linux_backups" id="classic_linux_backups">Classic Linux backups</a></h3>
  +<div class="level3">
  +
  +<p>
  +While creating and/or editing schematic file(s) or symbol file(s), snapshots are triggered by the <strong>autosave</strong> interval setting in the {binary-install-path}/share/gEDA/system-gschemrc file (see <a href="#relevant_configuration_settings" title="geda:gschem_ug.fr &crarr;" class="wikilink1">Relevant configuration settings</a> below). If the file has not been manually saved, and the interval expires (current default 2 minutes), the snapshot is saved the next time a change is made in the <strong>gschem</strong> main window (to either a schematic or to a symbol). The interval timer starts again as soon as the snapshot file(s) have been written, and once the timer expires the next change to the main window will trigger the snapshot to be written.<br/>
  + This snapshot includes all work up to, but not including, the last operation performed in the <strong>gschem</strong> main window. This is to allow for easier recovery from a crash that may have been caused by the last operation.<br/>
  + When <strong>gschem</strong> exits normally, the snapshot file(s) are deleted. So, if <strong>gschem</strong> were to crash, or not terminate normally for some reason (e.g., power failure, soda &rarr; keyboard, etc.), the shapshot file(s) would be found the next time <strong>gschem</strong> opened the file(s). <strong>gschem</strong> will display the following warning message when it finds a snapshot file associated with the schematic file(s) or symbol file(s) it opens: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">WARNING: Found and autosave backup file:
  +  {full path to autosave file}
  +
  +The backup copy is newer than the schematic, so it seems you
  +should load it instead of the original fil.
  +Gschem usually makes backup copies automatically, and this
  +situation happens when it crashed or it was forced to exit
  +abruptely.
  +
  +If you load the original file, the backup file will be overwritten in
  +the next autosave timeout and it will be lost.
  +
  +Do you want to load the backup file?</font></pre>
  +</p>
  +
  +<p>
  +The snapshots are saved to a file whose filename is constructed from the original filename (schematic or symbol) as follows:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> add a &ldquo;#&rdquo; to the beginning of the original filename</div>
  +</li>
  +<li class="level1"><div class="li"> add a &ldquo;#&rdquo; to the end</div>
  +</li>
  +</ul>
  +
  +<p>
  + so that:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> &ldquo;schematic_1.sch&rdquo; becomes &ldquo;#schematic_1.sch#&rdquo;</div>
  +</li>
  +<li class="level1"><div class="li"> &ldquo;symbol.sym&rdquo; becomes &ldquo;#symbol.sym#&rdquo;</div>
  +</li>
  +</ul>
  +
  +<p>
  + And yes, the &ldquo;#&rdquo; at the front and back of the filename are part of the filename.<br/>
  +
  +</p>
  +
  +<p>
  +When the user does finally manually save the file, the original file (i.e., the file that was opened) is renamed to &ldquo;{filename~}&rdquo; and the latest snapshot file (i.e., &ldquo;#{filename}#&rdquo;) gets copied as &ldquo;{filename}&rdquo;. Note that this new &ldquo;{filename}&rdquo; file may not contain the latest information as displayed on the <strong>gschem</strong> main window, as the <strong>autosave</strong> interval timer may not have expired since the last change to the schematic/symbol.<br/>
  + When the user finally decides to close <strong>gschem</strong>, the latest snapshot file (i.e., &ldquo;#{filename}#&rdquo;) is saved to the original file (i.e., &ldquo;{filename}&rdquo;.<br/>
  + When you exit <strong>gschem</strong> and are prompted to save any unsaved schematic file(s) or symbol file(s), this constitutes a manual save.
  +</p>
  +
  +</div>
  +<!-- SECTION [32751-35657] -->
  +<h3><a name="incremental_backups" id="incremental_backups">Incremental backups</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [35658-35691] -->
  +<h3><a name="relevant_configuration_settings" id="relevant_configuration_settings">Relevant configuration settings</a></h3>
  +<div class="level3">
  +
  +<p>
  +There are the following configuration settings in the {binary-install-path}/share/gEDA/system-gschemrc file that effect backups:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <strong>undo-control</strong> : Controls if the undo feature is enabled or not.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>undo-levels</strong> : Determines the number of levels of undo. Basically this number decides how many backup schematics are saved.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>undo-type</strong> : Controls which kind of undo is used, disk or memory. The default is to use the disk as the storage medium (i.e., after every action the undo information is stored to a new file on disk). The disk mechanism is nice because you get that many backups of the schematic, written to disk as backups, so you should never lose a schematic due to a crash.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>autosave</strong> : Controls if a backup copy is made every &ldquo;interval&rdquo; seconds. Note that a backup copy is only made when you make some change to the schematic, and there were more than &ldquo;interval&rdquo; seconds from the last autosave. Autosaving will not be allowed if the &ldquo;interval&rdquo; setting is set to zero.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [35692-36733] -->
  +<h2><a name="on-line_documentation" id="on-line_documentation">On-line documentation</a></h2>
  +<div class="level2">
  +
  +<p>
  +For a listing of the various command line flags run &ldquo;<strong>gschem -h</strong>&ldquo;: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">% <strong>gschem -h</strong>
  +Usage: gschem [OPTIONS] schematic_filename1 ... schematic_filenameN
  +  -q            Quiet mode
  +  -v            Verbose mode on
  +  -r filename   Rc filename
  +  -s filename   Script (guile) filename
  +  -o filename   Output filename (for printing)
  +  -p            Automatically place the window
  +  -t            Print stroke information
  +  -h            Help; this message</font></pre>
  +</p>
  +
  +<p>
  +For a detailed explanation of the command line flags look at the <strong>gschem</strong> man page: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">% <strong>man gschem</strong>
  +gschem(1)			   20031231			     gschem(1)
  +
  +
  +
  +NAME
  +       gschem - gEDA/gaf Schematic Capture
  +
  +SYNOPSIS
  +       gschem  [-q]  [-v] [-t] [-r rcfilename] [-s scriptfilename] [-o output-
  +       filename] [-p] [schematic1 ... schematicN]
  +
  +DESCRIPTION
  +       gschem is the schematic capture program which is part gEDA  (GPL	 Elec-
  +       tronic  Design Automation) toolset.  This program is used to draw elec-
  +       tronic schematics.  Schematics consist of standard symbols  (which  are
  +       either  part of a standard library or created by the user) which repre-
  +       sent the various gates  and  components.	  These	 components  are  then
  +       interconnected  by  nets	 (wires).   Schematics	may  be	 printed  to a
  +       PostScript file for printing or further conversion to other output for-
  +       mats.
  +
  +       gschem is also the symbol creation editor.  All the standard methods of
  +       creating schematics are used in the creation of symbols.	 There	are  a
  +       few  special  rules when creating symbols, so please refer to the (non-
  +       existant as of now) symbol creation document.
  +
  +       Please read the official documentation (very minimal at this point)  on
  +       how  to use gschem, since this man page just describes the command line
  +       arguments and a few examples on how to run gschem.
  +
  +
  +OPTIONS
  +       gschem accepts the following options:
  +
  +       -q      Quiet mode on.  This mode  turns	 off  all  warnings/notes/mes-
  +	       sages. (optional)
  +
  +       -v      Verbose	mode on.  This mode gives as much feedback to the user
  +	       as possible. (optional)
  +
  +       -t      Print out more information when using mouse strokes.  With this
  +	       command	line  flag  and the middle button configured for mouse
  +	       strokes, gschem will output the stroke sequence numbers as  the
  +	       user executes strokes.  These numbers can be used to define new
  +	       strokes in the system-gschemrc file.
  +
  +       -r filename
  +	       Specify a rc filename.  Normally gschem searches for  the  sys-
  +	       tem-gschemrc, then ~/.gEDA/gschemrc, and finally for a gschemrc
  +	       in the current directory.  This	options	 allows	 the  user  to
  +	       specify an additional rc file which is read after all the other
  +	       rc files are read. (optional)
  +
  +       -s filename
  +	       Specify a guile script to be executed at startup. (optional)
  +
  +       -o filename
  +	       Specify a filename for postscript output.   This	 command  line
  +	       argument	 is useful when running gschem from a shell script and
  +	       with a guile script.  The filename can be changed  through  the
  +	       print dialog box.
  +
  +       -p      Automatically  place  the  window, especially useful if running
  +	       gschem from the command line and generating output.
  +
  +       schematic1 [... schematicN]
  +	       Schematic file to be loaded.  Specifing	a  schematic  file  is
  +	       optional.   If  multiple schematic files are specified they are
  +	       read in sequentially and put on seperate pages.	It  is	impor-
  +	       tant that the schematic(s) follow all the options (ie last).
  +
  +
  +EXAMPLES
  +       These  examples	assume that you have a schematic called stack_1.sch in
  +       the current directory
  +
  +       To run gschem and then interact with the program:
  +
  +	    ./gschem
  +
  +       To run gschem in interactive mode but load a sample schematic:
  +
  +	    ./gschem adders_1.sch
  +
  +       To run gschem and load up all schematics in the current subdirectory:
  +
  +	    ./gschem *.sch
  +
  +
  +ENVIRONMENT
  +       No environment variables are used.
  +
  +
  +AUTHOR
  +       Ales Hvezda and many others
  +
  +
  +SEE ALSO
  +       gnetlist(1), gsymcheck(1)
  +
  +COPYRIGHT
  +       Copyright ©  1999-2004 Ales Hvezda
  +
  +       This document can be freely redistributed according to the terms of the
  +       GNU General Public License version 2.0
  +
  +
  +
  +
  +Version			      December 31st, 2003		     gschem(1)</font></pre>
  +</p>
  +
  +</div>
  +<!-- SECTION [36734-41203] -->
  +<h1><a name="electrical_connectivity" id="electrical_connectivity">Electrical Connectivity</a></h1>
  +<div class="level1">
  +
  +<p>
  +As you draw schematics you need be aware of what is considered to be electrically connected by the gEDA programs.<br/>
  + Nets which are visually connected to other nets are electrically connected. This connection may be endpoint to endpoint or endpoint to midpoint. When a single endpoint to endpoint (net or pin endpoint) connection is drawn, the visual dangling net cue disappears. When an endpoint ends in the middle of another net (or multiple endpoints coming together at a single point) then a circular filled connectivity cue is drawn. You cannot connect a net to the middle of a pin. Nets can only be connected to the endpoints of pins. You cannot connect to a net if that net is not orthogonal (horizontal or vertical). The visual cues are the primary way of telling if nets/pins are connected.<br/>
  + Bus are similar to nets with the exception that you cannot connect a net to the endpoint of a bus (only to the middle). If you do try to connect a net to the end of a bus you will see a big red X at the invalid endpoint connection. Buses are still very new so there are still many quirks.<br/>
  + You can label nets by using the <code>label=</code> attribute. Do not attach more than one <code>label=</code> to a net. You only need to attach the <code>label=</code> attribute to one net segment. Different nets (i.e. multiple net segments which arenâ??t connected together) which have the same attribute <code>label=</code> attached to them are also considered electrically connected. You will not get any indication of this connection by <strong>gschem</strong>, but the netlister (<strong>gnetlist</strong>) considers nets with the same <code>label=</code> attribute electrically connected. The naming convention for buses has not been formalized yet.
  +</p>
  +
  +</div>
  +<!-- SECTION [41204-42920] -->
  +<h1><a name="components_symbols_objects_attributes" id="components_symbols_objects_attributes">Components &amp; Symbols &amp; Objects &amp; Attributes</a></h1>
  +<div class="level1">
  +
  +<p>
  +There is a hierarchical association between components, symbols, objects, and attributes.
  +</p>
  +
  +</div>
  +<!-- SECTION [42921-43069] -->
  +<h2><a name="components" id="components">Components</a></h2>
  +<div class="level2">
  +
  +<p>
  +A component is the instantiation of a specific symbol, as placed on the schematic. When discussing a schematic you refer to <em class="u">components</em> on the schematic, not <em class="u">symbols</em> on the schematic. Think of symbols as being <em class="u">conceptual</em>, and components as being <em class="u">concrete</em>.<br/>
  + The component consists of a graphic representation and the attributes describing the component&rsquo;s features.<br/>
  + The component inherits all of the attributes defined in the symbol. Certain attributes in the symbol:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> those explicitly defined as visible unattached attributes (see <a href="#attributes" title="geda:gschem_ug.fr &crarr;" class="wikilink1">Attributes</a>)</div>
  +</li>
  +<li class="level1"><div class="li"> specific attributes such as <code>symversion</code> and <code>refdes</code></div>
  +</li>
  +</ul>
  +
  +<p>
  + are promoted to the component level for manipulation by the circuit designer. These attributes may optionally be exposed (made viewable) with the component&rsquo;s graphic, and their values may be changed.<br/>
  + Any attribute not defined in the symbol may be defined in the component. For example, if the symbol does not define the <code>comment</code> attribute, this attribute may be added to the component, perhaps to add a comment for the Bill of Material or Assembly Instructions.<br/>
  + Unfortunately, it is difficult to determine a component&rsquo;s attributes from <strong>gschem</strong> while entering the schematic. You have to place a symbol on the schematic, select the resulting component, and select <strong>Hierarchy | Down Symbol</strong> from the pull-down menus. Then, you have to unhide all attributes with <strong>Edit | Make Inv Text Vis</strong> from the pull-down menus. Then you have to expand your view of the symbol with <strong>View | Extents</strong>. Then, you have to go back to the schematic by selecting <strong>Hierarchy | Up</strong> from the pull-down menus. Then, you have to select <strong>Edit | Edit...</strong> to bring up the &ldquo;Edit Attributes&rdquo; dialog box to determine if any attributes have been added at the component level.
  +</p>
  +
  +</div>
  +<!-- SECTION [43070-44884] -->
  +<h2><a name="symbols" id="symbols">Symbols</a></h2>
  +<div class="level2">
  +
  +<p>
  +Symbols are just a collection of objects and attributes.<br/>
  + The objects have positional significance in the symbol, and define the graphic that is viewed.<br/>
  + Attributes may be attached to objects, or they may be attached to the symbol itself (termed as &ldquo;unattached&rdquo; attributes, because they are not attached to an object).
  +</p>
  +
  +</div>
  +<!-- SECTION [44885-45226] -->
  +<h2><a name="objects" id="objects">Objects</a></h2>
  +<div class="level2">
  +
  +<p>
  +The following are objects:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Line</div>
  +</li>
  +<li class="level1"><div class="li"> Box</div>
  +</li>
  +<li class="level1"><div class="li"> Circle</div>
  +</li>
  +<li class="level1"><div class="li"> Arc</div>
  +</li>
  +<li class="level1"><div class="li"> Pin</div>
  +</li>
  +<li class="level1"><div class="li"> Picture</div>
  +</li>
  +<li class="level1"><div class="li"> Text</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [45227-45339] -->
  +<h2><a name="attributes" id="attributes">Attributes</a></h2>
  +<div class="level2">
  +
  +<p>
  +An attribute is text which is in the form <code>name=value</code> (there are no spaces to the left or right of the name,value pair). An attribute can be either attached to an object or unattached. Attributes are used extensively in the gEDA project to convey information (e.g., device name, pin numbers, hidden nets, and unit reference numbers). Check <a href="http://www.geda.seul.org/docs/current/attributes/index.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/attributes/index.html";  rel="nofollow">gEDA/gaf Master Attribute Document</a> for a complete list of attributes.<br/>
  + There are three kinds of attributes:</p>
  +<dl>
  +<dt><span class='term'> Attached attributes</span></dt>
  +<dd>These are attributes which take on the standard form and are attached to some object (pin, net, component, or box etc...) to associate a value with the attribute. For example: a pin number associated with a pin. <span class="hilited">These attributes are usually yellow in color.</span></dd>
  +<dt><span class='term'> Unattached attributes</span></dt>
  +<dd>These are attributes which take on the standard form, but are not attached to any object and usually convey some information which is global in nature. For example: a <code>device=</code> attribute (which lives inside symbols) and specifies what device the entire symbol represents. These attributes are also known as floating or toplevel attributes.</dd>
  +<dt><span class='term'> Promoted attributes</span></dt>
  +<dd>These are unattached attributes in the symbol&rsquo;s definition that get turned into attached attributes in the component&rsquo;s definition when the symbol is instantiated as a component when placed in the schematic. If you place an unattached visible attribute inside a symbol and then instantiate that symbol, then that unattached attribute gets &ldquo;promoted&rdquo;; that is, it becomes an attached attribute. This mechanism of attribute reattachement (from within a symbol) is known as attribute promotion.</dd>
  +</dl>
  +<p>There are some gotchas about attribute promotion:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Promotion <strong>only</strong> happens when the symbol is first placed. That means that if you place a symbol (e.g., sym1) and then change it on disk (by adding or removing new unattached attributes), existing sym1&rsquo;s will not reflect these new unattached attributes (i.e., they won&rsquo;t get promoted) in any schematic.</div>
  +</li>
  +<li class="level1"><div class="li"> The <code>device=</code> attribute is not promoted.</div>
  +</li>
  +<li class="level1"><div class="li"> Invisible attributes are not promoted by default. If you add an unattached attribute (e.g., numslots=#) and make it invisible, it will not be promoted.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Now, in order to make everybody happy, this attribute promotion behavior is configurable.<br/>
  + The system-gschemrc file defines: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(attribute-promotion &quot;enabled&quot;)</font></pre>
  +</p>
  +
  +<p>
  +which enables attribute promotion.<br/>
  + If you override the system-gschemrc&rsquo;s default promote-invisible setting by adding: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(promote-invisible &quot;enabled&quot;)</font></pre>
  +</p>
  +
  +<p>
  +to either your user&rsquo;s ~/gschemrc or local &lsquo;pwd&rsquo;/gschemrc file, invisible unattached attributes will also be promoted <span class="hilited">(and in memory removed)</span>.
  +</p>
  +
  +<p>
  +However, if you do this, component slotting will break because <strong>gschem</strong> expects certain unattached attributes inside the symbol <span class="hilited">(in memory even though they are invisible)</span>.<br/>
  + So you can add: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(keep-invisible &quot;enabled&quot;)</font></pre>
  +</p>
  +
  +<p>
  +to either your user&rsquo;s ~/gschemrc or local &lsquo;pwd&rsquo;/gschemrc file. This is enabled by default, but has no effect unless promote-invisible is enabled.
  +</p>
  +
  +<p>
  +So, to summarize, attribute promotion takes unattached attributes inside symbols and attaches them to the outside of a placed symbol. Three *rc keywords control this behavior: attribute-promotion, promote-invisible, and keep-invisible.
  +</p>
  +
  +</div>
  +<!-- SECTION [45340-48726] -->
  +<h1><a name="the_main_window" id="the_main_window">The Main Window</a></h1>
  +<div class="level1">
  +<table class="inline">
  +	<tr>
  +		<td> <a href="_detail/001geda_gschem_screenshot_001.html" class="media" title="geda:gschem_screenshot_001.jpg"><img src="http://geda.seul.org/wiki/_media/geda:gschem_screenshot_001.jpg?w=&h=&cache=cache"; class="media" title="gschem_screenshot_001.jpg" alt="gschem_screenshot_001.jpg" /></a> </td>
  +	</tr>
  +</table>
  +<br />
  +
  +<p>
  + There are several ways to interact with <strong>gschem</strong>. <strong>gschem</strong> requires a keyboard and mouse. There are three ways to initiate an operation or command:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Using the mouse to select the operation off a menu</div>
  +</li>
  +<li class="level1"><div class="li"> Typing the keyboard shortcut(s).</div>
  +</li>
  +<li class="level1"><div class="li"> Draw the appropriate stroke (if stroke support is enabled)</div>
  +</li>
  +</ul>
  +
  +<p>
  + To make usage matters more confusing, selecting an operation off of the menus behaves slightly differently than typing the keyboard shortcut. Most of the operations operate on the currently selected object(s), hence you need to select the object first before manipulating them. The menu selected operations usually require some more input (usually a mouse click) after they are picked off of the menu. The keyboard shortcut operations take that required input as the current mouse position. This saves an extra click since you can position the mouse at the right place, type in the shortcut(s), and the command then executes. Note, you can change this so that both menu and shortcut behavior is exactly the same. See the section on the resource file for more info on how to configure this.<br/>
  + Most of the interaction with <strong>gschem</strong> is fairly mode oriented (similar to the great text editor vi). If you select operations off of the menu, then you are placed into the corresponding mode (like copy or move mode). You must then select an anchor point (or whatever the appropriate point is) to continue the operation. Most of the commands off of the menu expect the objects to be already selected. Some of the modes persist after being execute while other immediately return you into select mode (the default mode).<br/>
  + The shortcuts are also mode like in nature. Most of the default shortcuts are for the various commands are not single keystrokes. There are a few which are single keystrokes (like zoom in: `z&rsquo; or pan: `x&rsquo;), but most are typically two keystrokes long. As examples, to execute File/Save you would type `f&rsquo; and `s&rsquo; (without the quotes) or Add/Line is `a&rsquo; and `l&rsquo;. You can get a listing of the shortcuts by picking Help/Hotkeys. You can also see the hotkey assignments in the pulldown menus as well. The shortcuts are defined in the resource files (<strong>system-gschemrc</strong>, <strong>/.gEDA/gschemrc</strong>, or <strong>`pwd`/gschemrc</strong>). See the section on the resource file for more info.<br/>
  + The mouse button actions in <strong>gschem</strong> are mostly configurable. The first mouse button is always used to select objects or pick points. This button is not configurable. The second mouse button is either a copy/move action (when held down over an object), a repeat last command or used to draw a stroke to execute a command. The third mouse button is either a mouse pan (when held down as the mouse is moved) or a popup menu. The behavior of the second and third mouse buttons is controlled through the resource file (see the section below for more info).
  +</p>
  +
  +</div>
  +<!-- SECTION [48727-51640] -->
  +<h1><a name="the_status_window" id="the_status_window">The Status Window</a></h1>
  +<div class="level1">
  +<table class="inline">
  +	<tr>
  +		<td> <a href="_detail/001geda_status_screenshot.html" class="media" title="geda:status_screenshot.jpg"><img src="http://geda.seul.org/wiki/_media/geda:status_screenshot.jpg?w=&h=&cache=cache"; class="media" title=":geda:status_screenshot.jpg" alt=":geda:status_screenshot.jpg" /></a> </td>
  +	</tr>
  +</table>
  +<br />
  +
  +<p>
  + Add some details about what gets displayed in the status window.
  +</p>
  +
  +</div>
  +<!-- SECTION [51641-51803] -->
  +<h1><a name="the_schematic_file" id="the_schematic_file">The Schematic File</a></h1>
  +<div class="level1">
  +
  +<p>
  +Schematic files. These files contain components, nets, text, and sometimes primitive objects (like lines, circles, box etc...) Schematics do not contain pins. Schematic filenames should follow this convention: name_#.sch where:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> name is a text string which describes what this schematic contains.</div>
  +</li>
  +<li class="level1"><div class="li"> _# is an underscore and a number (like _1, _2, _7, _13, etc...) This number is used to sequence schematic pages in a multiple page schematic.</div>
  +</li>
  +<li class="level1"><div class="li"> .sch is the schematic extension/suffix. It is important the schematic pages have this extension.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Schematic files are pure <acronym title="American Standard Code for Information Interchange">ASCII</acronym> and will always be pure <acronym title="American Standard Code for Information Interchange">ASCII</acronym>. gEDA does not support any binary file formats. The file format for schematics is described in the gEDA file formats document.
  +</p>
  +
  +</div>
  +<!-- SECTION [51804-52573] -->
  +<h1><a name="the_symbol_file" id="the_symbol_file">The Symbol File</a></h1>
  +<div class="level1">
  +
  +<p>
  +Symbol files. The schematic and symbol file formats are identical. <strong>gschem</strong> (or a text editor) is used to create symbol files as well as schematics. Symbol files contain lines, circles, boxes, arcs, pins, text, and attributes.<br/>
  + The naming convention for symbol files is: name-#.sym where:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> name is a text string which describes what the symbol represents.</div>
  +</li>
  +<li class="level1"><div class="li"> -# is a dash and a number (like -1, -2 etc...) The number is used to allow for a symbols to have the same name yet different contents. There might be multiple representations for resistors so these symbols should be called: resistor-1.sym, resistor-2.sym, and resistor-3.sym.</div>
  +</li>
  +<li class="level1"><div class="li"> .sym is the symbol extension/suffix. It is important the symbols have this extension.</div>
  +</li>
  +</ul>
  +
  +<p>
  + The way of specifying hierarchy is by using the source= attribute. Please see the master attribute document for info on this mechanism.<br/>
  + The hierarchy mechanism is still in heavy flux, so there might be some more changes.
  +</p>
  +
  +</div>
  +<!-- SECTION [52574-53560] -->
  +<h1><a name="symbol_libraries" id="symbol_libraries">Symbol Libraries</a></h1>
  +<div class="level1">
  +
  +<p>
  +Components are searched for by specifying (component-library &ldquo;...&rdquo;) inside one of the *rc files. See below for more info.
  +</p>
  +
  +</div>
  +<!-- SECTION [53561-53714] -->
  +<h1><a name="the_log_file" id="the_log_file">The Log File</a></h1>
  +<div class="level1">
  +
  +<p>
  +Log file. This file contains informative, error, warnings etc... messages when <strong>gschem</strong> was run. This file is created in the working directory that <strong>gschem</strong> was started in. This allows the user to preserve log files between independent projects.
  +</p>
  +
  +</div>
  +<!-- SECTION [53715-53992] -->
  +<h1><a name="grips" id="grips">Grips</a></h1>
  +<div class="level1">
  +
  +<p>
  +Grips are a mechanism used in <strong>gschem</strong> to provide an easy way of modifying objects inside schematics. When you select an object, little squares are placed in strategic locations (line end points or circle radius point or corners of a box) which allow you to change the object quickly. Grip support currently exists for lines, nets, pins, buses, circles, and boxes. Arcs do not yet have grips, but will eventually have them.
  +</p>
  +
  +<p>
  +Using grips is easy:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the object you want to change. The grips (the little boxes) will appear.</div>
  +</li>
  +<li class="level1"><div class="li"> Click and hold the first mouse button inside the box.</div>
  +</li>
  +<li class="level1"><div class="li"> Move the mouse around till you have the object where you want it</div>
  +</li>
  +<li class="level1"><div class="li"> Release the mouse button.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [53993-54702] -->
  +<h1><a name="menu_operations" id="menu_operations">Menu Operations</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [54703-54732] -->
  +<h2><a name="file" id="file">File</a></h2>
  +<div class="level2">
  +
  +<p>
  +The <strong>gschem</strong> application is primarily used for the creation of schematic files (i.e., filename.sch) and symbol files (filename.sym).<br/>
  + The following operations are related to the manipulation of these files.<br/>
  + Note that <strong>gschem</strong> automatically maintains backups of open schematic/symbol files, in the /tmp directory, for the purpose of Undo/Redo. <strong>gschem</strong> cleans up these files when it exits gracefully. If <strong>gschem</strong> does not exit gracefully, the next time you launch <strong>gschem</strong> you will be prompted with a dialog similar to: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">WARNING: Found an autosave backup file:
  +        {filename}
  +
  +      The backup copy is newer than the schematic, so it seems you
  +      load it instead of the original file.
  +      gschem usually makes backup copies automatically, and this
  +      situation happens when it crashed or it was forced to exit
  +      abruptly.
  +
  +      If you load the original file, the backup file will be overwritten in
  +      the next autosave timeout and it will be lost.
  +
  +      Do you want to load the backup file?</font></pre>
  +</p>
  +
  +<p>
  +The following are available from the <strong>gschem</strong> main window&rsquo;s menu-bar when you expand <strong>File</strong>:
  +</p>
  +
  +</div>
  +<!-- SECTION [54733-55886] -->
  +<h3><a name="new_window_fw" id="new_window_fw">New Window (fw)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | New Window</strong> Ouvre une nouvelle fenêtre. Chaque fenêtre est totalement séparée des autres fenêtres.
  +</p>
  +
  +</div>
  +<!-- SECTION [55887-56027] -->
  +<h3><a name="new_page_fn" id="new_page_fn">New Page (fn)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | New Page</strong> Ouvre une nouvelle page en plus de toute page ouverte existante. Cette page sera habituellement appelée «·untitled_N.sch·» où N est un nombre incrémenté.
  +</p>
  +
  +</div>
  +<!-- SECTION [56028-56234] -->
  +<h3><a name="open_page..._fo" id="open_page..._fo">Open Page... (fo)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | Open Page...</strong> Ouvre une page depuis le disque.<br/>
  + The &ldquo;Open...&rdquo; dialog box pops up providing:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Directory navigation aids</div>
  +</li>
  +<li class="level1"><div class="li"> Filters for restricting the displayed files:</div>
  +<ul>
  +<li class="level2"><div class="li"> Schematics</div>
  +</li>
  +<li class="level2"><div class="li"> Symbols</div>
  +</li>
  +<li class="level2"><div class="li"> Schematics and Symbols</div>
  +</li>
  +<li class="level2"><div class="li"> All files</div>
  +</li>
  +</ul>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [56235-56521] -->
  +<h3><a name="close_page_pc" id="close_page_pc">Close Page (pc)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | Close Page</strong> Fermer la page actuellement affichée. Il vous sera demandé de faire une sauvegarde si vous avez fait des modifications sur la page.
  +</p>
  +
  +</div>
  +<!-- SECTION [56522-56704] -->
  +<h3><a name="revert_page_pr" id="revert_page_pr">Revert Page (pr)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | Revert Page</strong> Ferme et réouvre la page actuellement affichée. Il ne vous sera pas demandé de sauvegarder la page courante et effacera toutes les changements que vous avez fait en réouvrant le schéma sauvegardé dans le disque. A utiliser avec précaution.
  +</p>
  +
  +</div>
  +<!-- SECTION [56705-57002] -->
  +<h3><a name="save_page_fs" id="save_page_fs">Save Page (fs)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | Save Page</strong> Sauve la page courante. The current filename is displayed in the <strong>gschem</strong> status-bar.<br/>
  + Si la page est appelée untitled_N.sch (o N est un entier) alors une boîte de dialogue avec Save As... apparaîtra demandant un nouveau nom de fichier.<br/>
  + If the page&rsquo;s filename is NOT &ldquo;untitled_N.sch&rdquo; (where N is a integer), then the Save As... dialog box will NOT be displayed, and:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> the backup file will be created for the previous version, named {filename}~ That is, the &ldquo;~&rdquo; (tilde character) is appended to the filename. Any previous backup file is lost.</div>
  +</li>
  +<li class="level1"><div class="li"> the file will be saved to the current filename.<br/>
  +</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [57003-57656] -->
  +<h3><a name="save_page_as..._fa" id="save_page_as..._fa">Save Page As... (fa)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | Save Page As...</strong> Ouvre la boîte de dialogue Save As.<br/>
  + If the current filename is &ldquo;untitled_N.sch&rdquo;, the Save As... dialog box prompts for a new filename.<br/>
  + If the current filename is NOT &ldquo;untitled_N.sch&rdquo;, the Save As... dialog box prompts for a new filename, filling in the current filename as a default.<br/>
  + In either case the filename may be changed, and a new file created when the Save As button is clicked.
  +</p>
  +
  +</div>
  +<!-- SECTION [57657-58109] -->
  +<h3><a name="save_all_fl_fl" id="save_all_fl_fl">Save All (fl) (fl)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | Save All</strong> Sauvegarde inconditionnelle de tous les schémas chargés dans la mémoire.
  +</p>
  +
  +</div>
  +<!-- SECTION [58110-58235] -->
  +<h3><a name="print..._fp" id="print..._fp">Print... (fp)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | Print...</strong> Affiche la boîte de dialogue Print.<br/>
  + The following may be selected:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Filename (if <strong>gschem</strong> has multiple pages loaded, this filename defaults to the page currently displayed).</div>
  +</li>
  +<li class="level1"><div class="li"> Paper size (that is loaded into your printer).</div>
  +</li>
  +<li class="level1"><div class="li"> The print type:</div>
  +<ul>
  +<li class="level2"><div class="li"> Extents with margins &ndash; the current page, with margins where the printer doesn&rsquo;t output.</div>
  +</li>
  +<li class="level2"><div class="li"> Extents no margins &ndash; the current page, but without margins where the printer doesn&rsquo;t output. The printer output may be scaled larger than when using &ldquo;Entents with margins&rdquo;, but at the loss along the borders of the schematic.</div>
  +</li>
  +<li class="level2"><div class="li"> Current window &ndash; Scaled to fit the page size, but not optimally.</div>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"> Orientation:</div>
  +<ul>
  +<li class="level2"><div class="li"> Landscape</div>
  +</li>
  +<li class="level2"><div class="li"> Portrait</div>
  +</li>
  +</ul>
  +</li>
  +</ul>
  +
  +<p>
  + Pressing Print will generate a PostScript file with the filname format of the form {filename}.ps (e.g., printing schematic file First_1.sch would generate First_1.ps).<br/>
  + Printing the PostScript file to your printer is distribution dependent:</p>
  +<dl>
  +<dt><span class='term'> Fedora Core (from the command-line):</span></dt>
  +<dd><code>lp First_1.ps</code></dd>
  +</dl>
  +<p>
  +</p>
  +
  +</div>
  +<!-- SECTION [58236-59284] -->
  +<h3><a name="write_png..._fi" id="write_png..._fi">Write PNG... (fi)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | Write <acronym title="Portable Network Graphics">PNG</acronym>...</strong> Affiche la boîte de dialogue Write <acronym title="Portable Network Graphics">PNG</acronym>. Note you must have libgdgeda installed (and any required dependencies) if you want to output images.<br/>
  + The dialog box allows you to select:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Width x Height:</div>
  +<ul>
  +<li class="level2"><div class="li"> 320 x 240</div>
  +</li>
  +<li class="level2"><div class="li"> 640 x 480</div>
  +</li>
  +<li class="level2"><div class="li"> 800 x 600</div>
  +</li>
  +<li class="level2"><div class="li"> 1024 x 768</div>
  +</li>
  +<li class="level2"><div class="li"> 1280 x 960</div>
  +</li>
  +<li class="level2"><div class="li"> 1600 x 1200</div>
  +</li>
  +<li class="level2"><div class="li"> 3200 x 2400</div>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"> Filename (if <strong>gschem</strong> has multiple pages loaded, the currently displayed page&rsquo;s filname is given)</div>
  +</li>
  +</ul>
  +
  +<p>
  + When the OK button is clicked, a <acronym title="Portable Network Graphics">PNG</acronym> graphic file with a filename of the form {filename}.png is created (e.g., writing a <acronym title="Portable Network Graphics">PNG</acronym> for schematic file First_1.sch will generate a First_1.png file).<br/>
  + This file may be used any way a <acronym title="Portable Network Graphics">PNG</acronym> file is used (e.g., web-page, document insertion, image manipulation with the GIMP, etc.).
  +</p>
  +
  +</div>
  +<!-- SECTION [59285-60081] -->
  +<h3><a name="execute_script..._ft" id="execute_script..._ft">Execute Script... (ft)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | Execute Script...</strong> .....TBD
  +</p>
  +
  +</div>
  +<!-- SECTION [60082-60153] -->
  +<h3><a name="close_window_fc" id="close_window_fc">Close Window (fc)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | Close Window</strong> Ferme la fenêtre actuelle. Sâ??il y a des schémas modifiés, une boîte de dialogue â??There are unsaved schematicsâ?? apparaîtra. Cliquer sur OK fera perdre tous les schémas non sauvegardés. 
  +</p>
  +
  +</div>
  +<!-- SECTION [60154-60404] -->
  +<h3><a name="quit_alt-q" id="quit_alt-q">Quit (Alt-q)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>File | Quit</strong> closes all opened windows and exits <strong>gschem</strong>. A â??There are unsaved schematicsâ?? dialog box will appear for each window that has unsaved schematics.
  +</p>
  +
  +</div>
  +<!-- SECTION [60405-60597] -->
  +<h2><a name="edit" id="edit">Edit</a></h2>
  +<div class="level2">
  +
  +<p>
  +The following are available from the <strong>gschem</strong> main windowâ??s menu-bar when you expand <strong>Edit</strong>:
  +</p>
  +
  +</div>
  +<!-- SECTION [60598-60714] -->
  +<h3><a name="undo_shift-u" id="undo_shift-u">Undo (shift-u)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Undo</strong> does exactly that, it undos the last action which changed the schematic.<br/>
  + The depth of undo (how many undo steps can be performed) is set in the system-gschemrc file. The default is 10. Simply override this setting by placing the following lines in either your ~/.gEDA/gschemrc file or your local gschemrc file: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">; undo-levels number
  +;
  +; Determines the number of levels of undo.  Basically this number decides 
  +; how many backup schematics are saved on disk.
  +;
  +(undo-levels 10)</font></pre>
  +</p>
  +
  +<p>
  +After every action (including zooming and panning) the schematic is saved to disk (in /tmp). The undo-levels setting determines how many of these temporary files are maintained in the /tmp directory. <strong>gschem</strong> does clean-up after itself when you exit.<br/>
  + Should <strong>gschem</strong> crash, the saved files remain in /tmp for disaster recovery. You will be prompted the next time the schematic is opened to recover from the backup.<br/>
  +
  +</p>
  +
  +</div>
  +<!-- SECTION [60715-61673] -->
  +<h3><a name="redo_shift-r" id="redo_shift-r">Redo (shift-r)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Redo</strong> only applies after you have done an <strong>Edit | Undo</strong>. You can undo something and then immediately redo it. However if you do anything in between you will lose the undo info. You can undo and redo to your hearts desire up and down till you reach the max undo levels.<br/>
  +
  +</p>
  +
  +</div>
  +<!-- SECTION [61674-61982] -->
  +<h3><a name="select_mode_s" id="select_mode_s">Select Mode (s)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Select Mode</strong> is the initial mode in which <strong>gschem</strong> starts.<br/>
  + When in <strong>Select Mode</strong>, an unlocked object (i.e., component, line, box, circle, ...) may be selected by placing the mouse pointer within the outline of the object and single-clicking, or by dragging a box (i.e., holding down the first mouse-button) around the object. Selecting an already selected object will leave the object selected (i.e., you can not unselect an object by single-clicking it). Multiple unlocked objects may be selected by dragging a box around the objects.<br/>
  + A locked object may be selected by dragging a box around the object.<br/>
  + To de-select all objects, single-click anywhere on the schematic where there is no object.<br/>
  + A visible attribute for an unlocked component may be selected by placing the mouse pointer over the component&rsquo;s visible attribute and single-clicking. Placing the mouse pointer over the unlocked component&rsquo;s visible attribute and double-clicking will open the edit dialog box appropriate for the attribute.<br/>
  + Objects will change color when selected.<br/>
  + You stay in <strong>Select Mode</strong> until you select one of the other Modes (e.g., Line, Copy, Move, etc.).<br/>
  + Your current Mode is displayed on the status-bar, in the lower-right corner of the <strong>gschem</strong> window.<br/>
  + If multiple objects overlap, single-clicking where they overlap will cycle through the objects.<br/>
  + If you hold down the SHIFT key and single-click, you can select and deselect multiple objects. Doing this with multiple overlapping objects will cause the selection to cycle among the possible object selections.<br/>
  + If you hold down the CONTROL key and single-click, you will toggle the object in and out of the current selection list.<br/>
  + If you hold down the SHIFT key while drawing a selection box you will add to the currently selected objects. Objects cannot be removed using the selection box and holding down the SHIFT key.<br/>
  + If you hold down the CONTROL key while drawing a selection box then you will toggle any encompassed objects. If an object was selected then it will be unselected and vice versa.<br/>
  + If you pick a component, its visible and invisible attributes are selected as well. If you just want to select the object, you must deselect the attributes.<br/>
  + The selection mechanisms are not obvious and do require some practice. There are some quirks so please report them as you come across them.
  +</p>
  +
  +</div>
  +<!-- SECTION [61983-64390] -->
  +<h3><a name="edit..._ee" id="edit..._ee">Edit... (ee)</a></h3>
  +<div class="level3">
  +
  +<p>
  +First, select the object to be edited (i.e., in <strong>Select Mode</strong>).<br/>
  + If the object is a component, <strong>Edit | Edit...</strong> then pops up a dialog box that allows you to edit the component&rsquo;s attributes:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Existing attributes (e.g., refdes) are displayed.</div>
  +</li>
  +<li class="level1"><div class="li"> A drop-down list of pre-defined attributes permits selection, and a edit-box provides association of a value to the attribute.</div>
  +</li>
  +<li class="level1"><div class="li"> The attribute&rsquo; name and/or value may be made visible or invisible,</div>
  +</li>
  +</ul>
  +
  +<p>
  + If the ojbect is a text string, <strong>Edit...</strong> then pops up a dialog box that allows you to modify the text string&rsquo;s attributes:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> The text string may be modified. Multiple lines of text may be entered by inserting carriage-returns.</div>
  +</li>
  +<li class="level1"><div class="li"> The text color may be modified.</div>
  +</li>
  +<li class="level1"><div class="li"> The text size may be modified.</div>
  +</li>
  +<li class="level1"><div class="li"> The text alignment:</div>
  +<ul>
  +<li class="level2"><div class="li"> Lower/middle/upper left</div>
  +</li>
  +<li class="level2"><div class="li"> Lower/middle/upper middle</div>
  +</li>
  +<li class="level2"><div class="li"> Lower/middle/upper right</div>
  +</li>
  +</ul>
  +</li>
  +</ul>
  +
  +<p>
  + Apply the changes by pressing OK.
  +</p>
  +
  +<p>
  +<strong>Notes:</strong><br/>
  + If you need to change the attributes to more than just a few components, consider saving your schematics, closing <strong>gschem</strong>, and using the <strong>gattrib</strong> application, the <strong>grenum</strong> application, or the <strong>refdes_renum</strong> application to make the changes.
  +</p>
  +
  +</div>
  +<!-- SECTION [64391-65585] -->
  +<h3><a name="edit_text..._ex" id="edit_text..._ex">Edit Text... (ex)</a></h3>
  +<div class="level3">
  +
  +<p>
  +First, select the text object to be edited (i.e., in <strong>Select Mode</strong>).<br/>
  + <strong>Edit | Edit Text...</strong> allows you to edit just text.<br/>
  + <strong>Edit | Edit Text...</strong> then pops up a dialog box that allows you to modify the text string&rsquo;s attributes:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> The text string may be modified. Multiple lines of text may be entered by inserting carriage-returns.</div>
  +</li>
  +<li class="level1"><div class="li"> The text color may be modified.</div>
  +</li>
  +<li class="level1"><div class="li"> The text size may be modified.</div>
  +</li>
  +<li class="level1"><div class="li"> The text alignment:</div>
  +<ul>
  +<li class="level2"><div class="li"> Lower/middle/upper left</div>
  +</li>
  +<li class="level2"><div class="li"> Lower/middle/upper middle</div>
  +</li>
  +<li class="level2"><div class="li"> Lower/middle/upper right</div>
  +</li>
  +</ul>
  +</li>
  +</ul>
  +
  +<p>
  + Apply the changes by pressing OK.
  +</p>
  +
  +<p>
  +<strong>Notes:</strong><br/>
  + If you need to change the attributes to more than just a few text strings, consider saving your schematics, closing <strong>gschem</strong>, and using the <strong>gattrib</strong> application, the <strong>grenum</strong> application, or the <strong>refdes_renum</strong> application to make the changes.
  +</p>
  +
  +</div>
  +<!-- SECTION [65586-66444] -->
  +<h3><a name="copy_mode_ec" id="copy_mode_ec">Copy Mode (ec)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Copy Mode</strong> allows you to copy (i.e., duplicate) the currently selected objects.<br/>
  + To copy the object(s):
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the objects to be copied (i.e., in <strong>Select Mode</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Copy Mode</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Observe the status-bar now indicates <strong>Copy Mode</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Click on the selected component(s) to establish an origin of reference</div>
  +</li>
  +<li class="level1"><div class="li"> Observe a shadow/outline appears for the selected components that moves with the mouse</div>
  +</li>
  +<li class="level1"><div class="li"> Place the components where you want them</div>
  +</li>
  +<li class="level1"><div class="li"> Click to anchor the copied components in place.</div>
  +</li>
  +</ul>
  +
  +<p>
  + After finishing the copy, you automatically return to <strong>Select Mode</strong>.<br/>
  + Holding down the CONTROL key as you move the outline around will constrain the movement to be either horizontal or vertical.<br/>
  + To copy objects using the shortcut keys is almost the same as above except that the origin point is selected automatically for you once you hit the copy mode shortcut.<br/>
  +
  +</p>
  +
  +</div>
  +<!-- SECTION [66445-67369] -->
  +<h3><a name="move_mode_em" id="move_mode_em">Move Mode (em)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Move Mode</strong> allows you to move the currently selected objects.<br/>
  + To move the object(s):
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the objects to be moved (i.e., in <strong>Select Mode</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Move Mode</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Observe the status-bar now indicates <strong>Move Mode</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Click on the selected component(s) to establish an origin of reference</div>
  +</li>
  +<li class="level1"><div class="li"> Observe a shadow/outline appears for the selected components that moves with the mouse</div>
  +</li>
  +<li class="level1"><div class="li"> Place the components where you want them</div>
  +</li>
  +<li class="level1"><div class="li"> Click to anchor the moved components in place.</div>
  +</li>
  +</ul>
  +
  +<p>
  + After finishing the move, you automatically return to <strong>Select Mode</strong>.<br/>
  + Holding down the CONTROL key as you move the outline around will constrain the movement to be either horizontal or vertical.<br/>
  + To move objects using the shortcut keys is almost the same as above except that the origin point is selected automatically for you once you hit the copy mode shortcut.<br/>
  +
  +</p>
  +
  +<p>
  +Since version 20060906, there is another way to move object(s):<br/>
  +
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the objects to be moved (i.e., in <strong>Select Mode</strong>).<br/>
  +</div>
  +</li>
  +<li class="level1"><div class="li"> Put the mouse pointer on one of the selected objects, then drag the objects and drop them where you want them.<br/>
  +</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [67370-68523] -->
  +<h3><a name="delete_delete-key" id="delete_delete-key">Delete (Delete-key)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Delete</strong> allows you to remove objects off of the page.<br/>
  + To delete objects:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the desired object(s) (i.e., in <strong>Select Mode</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Delete</strong></div>
  +</li>
  +</ul>
  +
  +<p>
  + The object(s) will be deleted immediately. If you inadvertantly delete a component, you can use Undo to recover.
  +</p>
  +
  +</div>
  +<!-- SECTION [68524-68842] -->
  +<h3><a name="rotate_90_mode_er" id="rotate_90_mode_er">Rotate 90 Mode (er)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Rotate 90 Mode</strong> allows you to rotate objects 90 degrees around a pivot/center point.<br/>
  + To rotate objects:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the desired object(s) (i.e., in <strong>Select Mode</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Rotate 90 Mode</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Click on the pivot (or center) point of the rotate</div>
  +</li>
  +</ul>
  +
  +<p>
  + The object(s) will be rotated 90º counter-clockwise immediately. If you inadvertantly rotate a component, you can use Undo to recover.<br/>
  + Rotating objects using the shortcut keys is similar to above except that the center point is the last mouse position at which you typed the shortcut.<br/>
  +
  +</p>
  +
  +</div>
  +<!-- SECTION [68843-69432] -->
  +<h3><a name="mirror_mode_ei" id="mirror_mode_ei">Mirror Mode (ei)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Mirror Mode</strong> allows you to mirror objects horizontally around a pivot point.<br/>
  + To mirror objects:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the desired object(s) (i.e., in <strong>Select Mode</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Mirror Mode</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Click on the pivot (or center) point of the mirror</div>
  +</li>
  +</ul>
  +
  +<p>
  + Mirroring objects using the shortcut keys is similar to above except that the pivot point is the last mouse position at which you typed the shortcut.<br/>
  + Objects are mirrored horizontally about the pivot point. If you want to get a vertical mirror then rotate and mirror the object(s) till you get the desired position.<br/>
  + Mirroring of embedded components is not supported.
  +</p>
  +
  +</div>
  +<!-- SECTION [69433-70089] -->
  +<h3><a name="slot..._e_shift-s" id="slot..._e_shift-s">Slot... (e shift-s)</a></h3>
  +<div class="level3">
  +
  +<p>
  +Some physical packages (e.g., the classic 7400 Quad NAND gate) contain more than one logical component (e.g., one of the NAND gates). In <strong>gchem</strong> terminology, each of these logical components is termed a &ldquo;slot&rdquo; (e.g., there would be 4 slots in the 7400 Quad NAND gate). Each slot is associated with specific pins on the physical package.<br/>
  + <strong>Edit | Slot...</strong> allows you to change the slot number of a multiple-slot package. The package must support slotting. Refer to the <a href="http://www.geda.seul.org/docs/current/symbols/index.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/symbols/index.html";  rel="nofollow">gEDA/gaf Symbol Creation Document</a> for more details.<br/>
  + To change the slot number (i.e., select which package pins are associated with a logical component):
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the desired logical component (i.e., in <strong>Select Mode</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Slot...</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Change the &ldquo;slot=n&rdquo; value in the &ldquo;Edit slot number&rdquo; dialog box</div>
  +</li>
  +<li class="level1"><div class="li"> Press OK</div>
  +</li>
  +</ul>
  +
  +<p>
  + Note that selecting the slot on a package often effects the layout of the printed circuit board, as the slot may be on the wrong side of the package for effecient routing of nets. Don&rsquo;t worry, you can always come back and change the slot selection once you start laying out your board and know which slots route best.
  +</p>
  +
  +</div>
  +<!-- SECTION [70090-71306] -->
  +<h3><a name="color..._eo" id="color..._eo">Color... (eo)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Color...</strong> allows you to change the color of any selected object (with the exception of components).<br/>
  + To change the color of the currently selected objects:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the desired object(s) (i.e., in <strong>Select Mode</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Color...</strong></div>
  +</li>
  +<li class="level1"><div class="li"> The &ldquo;Edit | Color Edit&rdquo; dialog box, with a drop down list for colors, will appear:</div>
  +<ul>
  +<li class="level2"><div class="li"> 1 | pin | black</div>
  +</li>
  +<li class="level2"><div class="li"> 2 | net endpoint | red</div>
  +</li>
  +<li class="level2"><div class="li"> 3 | graphic | green4</div>
  +</li>
  +<li class="level2"><div class="li"> 4 | net | blue2</div>
  +</li>
  +<li class="level2"><div class="li"> 5 | attribute | black</div>
  +</li>
  +<li class="level2"><div class="li"> 6 | logic bubble | DarkCyan</div>
  +</li>
  +<li class="level2"><div class="li"> 8 | detached attribute | red</div>
  +</li>
  +<li class="level2"><div class="li"> 9 | text | green4</div>
  +</li>
  +<li class="level2"><div class="li"> 10 | bus | green2</div>
  +</li>
  +<li class="level2"><div class="li"> 11 | select | firebrick</div>
  +</li>
  +<li class="level2"><div class="li"> 12 | bounding box | orange</div>
  +</li>
  +<li class="level2"><div class="li"> 13 | zoom box | DarkCyan</div>
  +</li>
  +<li class="level2"><div class="li"> 14 | stroke | grey90</div>
  +</li>
  +<li class="level2"><div class="li"> 15 | lock | grey40</div>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"> Pick the new color</div>
  +</li>
  +<li class="level1"><div class="li"> Press Apply</div>
  +</li>
  +</ul>
  +
  +<p>
  + The color change will take effect once you press Apply.<br/>
  + You can leave this dialog box up and select other objects and change their color by pressing Apply.
  +</p>
  +
  +</div>
  +<!-- SECTION [71307-72265] -->
  +<h3><a name="lock_el_unlock_e_shift-l" id="lock_el_unlock_e_shift-l">Lock (el) / Unlock (e shift-l)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Lock</strong> and <strong>Edit | Unlock</strong> allow you to lock/unlock components in a schematic. A locked component cannot be selected by a single click. To select locked component(s), drag a box around the component(s).<br/>
  + Locking a component is useful for components such as title blocks, which should not be selectable because there are other objects inside its boundaries. If the titleblock was not locked, and you missed selecting a component by clicking it with the mouse, you would end up selecting the titleblock instead.<br/>
  + Locking a component is also useful just to prevent it from being inadvertantly selected.<br/>
  + To lock/unlock components:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the desired object(s) (i.e., in <strong>Select Mode</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Lock</strong> or <strong>Edit | Unlock</strong></div>
  +</li>
  +</ul>
  +
  +<p>
  + The locked/unlocked state of components is preserved when <strong>gschem</strong> exits, so components which were locked remain locked the next time the schematic is opened.<br/>
  + You can lock and unlock regular objects (e.g., lines, pins, boxes...). This is nice when you are drawing something and an object is in the way. Just lock it, and you will not have to think about it when you click to select other objects. Locking an object is not preserved in the file format, so once you quit <strong>gschem</strong> any locked objects will be unlocked the next time the schematic is opened.<br/>
  + Note that if a component is locked, you can not single-click to select a visible attribute, or double-click to select and edit a visible component. First unlock such locked components.
  +</p>
  +
  +</div>
  +<!-- SECTION [72266-73804] -->
  +<h3><a name="line_width_type..._ew" id="line_width_type..._ew">Line Width &amp; Type... (ew)</a></h3>
  +<div class="level3">
  +
  +<p>
  +The <strong>Edit | Line Width &amp; Type...</strong> dialog box lets you control the width and type of lines, boxes, circles, and arcs on the schematic/symbol.<br/>
  + To change the <strong>Line Width &amp; Type...</strong>:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the desired object(s) (i.e., in <strong>Select Mode</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Line Width &amp; Type...</strong></div>
  +</li>
  +<li class="level1"><div class="li"> The &ldquo;Edit Line Width * Type&rdquo; dialog box displays</div>
  +</li>
  +<li class="level1"><div class="li"> Change the following as suites the object:</div>
  +<ul>
  +<li class="level2"><div class="li"> Line Width</div>
  +</li>
  +<li class="level2"><div class="li"> Line Type</div>
  +</li>
  +<li class="level2"><div class="li"> Line Dash Length</div>
  +</li>
  +<li class="level2"><div class="li"> Line Dash Space</div>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"> Press OK to apply the changes</div>
  +</li>
  +</ul>
  +
  +<p>
  + Note that if the line width doesn&rsquo;t seem to change, just pick a larger value.
  +</p>
  +
  +</div>
  +<!-- SECTION [73805-74420] -->
  +<h3><a name="fill_type..._ef" id="fill_type..._ef">Fill Type... (ef)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Fill Type...</strong> is used to fill boxes and circles.<br/>
  + To fill a box or circle:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the box or circle.</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Fill Type...</strong>. The &ldquo;Edit FIll Type&rdquo; dialog box is displayed.</div>
  +</li>
  +<li class="level1"><div class="li"> Enter:</div>
  +<ul>
  +<li class="level2"><div class="li"> Fill Type</div>
  +</li>
  +<li class="level2"><div class="li"> Line Width</div>
  +</li>
  +<li class="level2"><div class="li"> Angle 1</div>
  +</li>
  +<li class="level2"><div class="li"> Pitch 1</div>
  +</li>
  +<li class="level2"><div class="li"> Angle 2</div>
  +</li>
  +<li class="level2"><div class="li"> Pitch 2</div>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"> Press OK.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [74421-74761] -->
  +<h3><a name="symbol_translate..._et" id="symbol_translate..._et">Symbol Translate... (et)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Symbol Translate...</strong> is used when creating a symbol, to translate the symbol to an origin for subsequent placement. The symbol may take an optional offset (in mils), as appropriate to the symbol.<br/>
  + To translate the symbol:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Open a file for the symbol</div>
  +</li>
  +<li class="level1"><div class="li"> Set the grid snap spacing to 100 mils (<strong>Options</strong> | <strong>Snap Grid Spacing...</strong>).</div>
  +</li>
  +<li class="level1"><div class="li"> Toggle the grid ON (<strong>Options</strong> | <strong>Toggle Grid On/Off</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Toggle the grid snap ON (<strong>Options</strong> | <strong>Toggle Snap On/Off</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Zoom as appropriate for the symbol&rsquo;s dimensions</div>
  +</li>
  +<li class="level1"><div class="li"> Draw the symbol, according to the recommendations in the <a href="http://www.geda.seul.org/docs/current/symbols/index.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/symbols/index.html";  rel="nofollow">gEDA/gaf Symbol Creation Document</a></div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Symbol Translate...</strong></div>
  +</li>
  +<li class="level1"><div class="li"> The &ldquo;Translate&rdquo; dialog box is displayed.</div>
  +</li>
  +<li class="level1"><div class="li"> Enter 0 to translate to the origin, or enter a value (in mils), positive or negative, to offset the symbol from the origin.</div>
  +</li>
  +<li class="level1"><div class="li"> Press OK to apply</div>
  +</li>
  +<li class="level1"><div class="li"> Save the symbol to the file</div>
  +</li>
  +</ul>
  +
  +<p>
  + If you enter a 0, then all the objects will be translated to the origin.<br/>
  + If you enter a non-zero offset, this will be applied equally in both the X and the Y directions.<br/>
  +
  +</p>
  +
  +</div>
  +<!-- SECTION [74762-75926] -->
  +<h3><a name="embed_component_picture_eb" id="embed_component_picture_eb">Embed Component/Picture (eb)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>gschem</strong> supports the concept of embedded components and graphics, where all the information necessary to display a component/graphic is placed in the schematic file. <strong>Edit | Embed Component/Picture</strong> causes schematic files to be significantly larger, but it makes it easy to share schematics with other people or archive schematics. You should only embed components when absolutely necessary.<br/>
  + To <strong>Embed Component/Picture</strong>:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the component/picture (in <strong>Select Mode</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Embed Component/Picture</strong></div>
  +</li>
  +</ul>
  +
  +<p>
  + Save the schematic. The schematic file will now contain the text strings for the embedded component or embedded graphic.<br/>
  + The <strong>Add Component...</strong> dialog box allows you to optionally embed the component.<br/>
  + The <strong>Add Picture...</strong> dialog box does NOT allow you to optionally embed the component.<br/>
  + You can only embed and unembed components. Also, you cannot embed and then mirror a component (this is a limitation of <strong>gschem</strong> and will eventually be fixed).
  +</p>
  +
  +</div>
  +<!-- SECTION [75927-76956] -->
  +<h3><a name="unembed_component_picture_eu" id="unembed_component_picture_eu">Unembed Component/Picture (eu)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Unembed Component/Picture</strong> will move the component&rsquo;s or graphic&rsquo;s information from the schematic file&rsquo;s contents and output it to the original file&rsquo;s path/filename (i.e., the schematic file includes the path/filename information). To <strong>Unembed Component/Picture</strong>:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the component/picture (in <strong>Select Mode</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Unembed Component/Picture</strong></div>
  +</li>
  +</ul>
  +
  +<p>
  + Save the schematic. The schematic file will now NOT contain the text strings for the embedded component or embedded graphic.<br/>
  + You can only embed and unembed components.
  +</p>
  +
  +</div>
  +<!-- SECTION [76957-77546] -->
  +<h3><a name="update_component_ep" id="update_component_ep">Update Component (ep)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Update Component</strong> updates a component&rsquo;s definition.<br/>
  + A symbol can be modified from within <strong>gschem</strong> using the following sequence:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the component for which the symbol should be changed.</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Hierarchy | Down Symbol</strong>. This takes you to the symbol editor</div>
  +</li>
  +<li class="level1"><div class="li"> Modify the symbol. This includes modifying the symbol&rsquo;s graphic objects, adding/changing/deleting attributes, and moving objects with respect to the origin</div>
  +</li>
  +<li class="level1"><div class="li"> Don&rsquo;t forget to <strong>Edit | Symbol Translate</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>File | Save Page</strong> to save the symbol to it&rsquo;s file</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Hierarchy | Up Symbol</strong>. This returns you to the schematic editor</div>
  +</li>
  +<li class="level1"><div class="li"> With the component still selected, select <strong>Edit | Update Component</strong> to update the componet&rsquo;s description from the modified symbol&rsquo;s definition</div>
  +</li>
  +<li class="level1"><div class="li"> Select each component on the schematic(s) made from this symbol, and select <strong>Edit | Update Component</strong></div>
  +</li>
  +</ul>
  +
  +<p>
  + New components placed on the schematic from this updated symbol will use the new symbol definition.<br/>
  + Components placed on the schematic from this symbol will be updated the next time this schematic is opened.<br/>
  + The symbol&rsquo;s <code>symversion</code> attribute will automatically be incremented for non-trivial changes when the symbol is saved. When a schematic is opened by <strong>gschem</strong>, all symbols used by the schematic are read from their libraries. The <code>symversion</code> attribute of the symbol read from the library is compared to the <code>symversion</code> attribute of the components in the schematic. If the <code>symversion</code> attributes are different, the <code>symversion</code> attribute is exposed on the schematic for those symbols effected. Note that:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> This is a &ldquo;hint&rdquo; to the designer to check the symbol.</div>
  +</li>
  +<li class="level1"><div class="li"> You need to actually open a schematic file with <strong>gschem</strong> for the components to be updated.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [77547-79356] -->
  +<h3><a name="show_hide_inv_text_en" id="show_hide_inv_text_en">Show/Hide Inv Text (en)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Show/Hide Inv Text</strong> is most appropriate when creating or editing symbols, to view or hide the text for all of the symbol&rsquo;s invisible attributes.<br/>
  + <a href="#make_inv_text_vis" title="geda:gschem_ug.fr &crarr;" class="wikilink1">Make Inv Text Vis</a> is most appropriate when adding symbols to schematics, to view the text for the symbol&rsquo;s modifiable invisible attributes.<br/>
  + <strong>Edit | Show/Hide Inv Text</strong> toggles between making all invisible text visible and hiding all invisible text. When selected, all objects in the symbol are effected.<br/>
  + Visible text always remains visible.<br/>
  + A symbol has the following <em class="u">potential</em> attributes :
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> netname</div>
  +</li>
  +<li class="level1"><div class="li"> refdes</div>
  +</li>
  +<li class="level1"><div class="li"> slot</div>
  +</li>
  +<li class="level1"><div class="li"> value</div>
  +</li>
  +<li class="level1"><div class="li"> net</div>
  +</li>
  +<li class="level1"><div class="li"> device</div>
  +</li>
  +<li class="level1"><div class="li"> pinnumber</div>
  +</li>
  +<li class="level1"><div class="li"> pinseq</div>
  +</li>
  +<li class="level1"><div class="li"> pintype</div>
  +</li>
  +<li class="level1"><div class="li"> pinlabel</div>
  +</li>
  +<li class="level1"><div class="li"> source</div>
  +</li>
  +<li class="level1"><div class="li"> numslots</div>
  +</li>
  +<li class="level1"><div class="li"> slotdef</div>
  +</li>
  +<li class="level1"><div class="li"> graphical</div>
  +</li>
  +<li class="level1"><div class="li"> footprint</div>
  +</li>
  +<li class="level1"><div class="li"> description</div>
  +</li>
  +<li class="level1"><div class="li"> documentation</div>
  +</li>
  +<li class="level1"><div class="li"> symversion</div>
  +</li>
  +<li class="level1"><div class="li"> comment</div>
  +</li>
  +<li class="level1"><div class="li"> file</div>
  +</li>
  +<li class="level1"><div class="li"> model-name</div>
  +</li>
  +</ul>
  +
  +<p>
  + Not all attributes need to be used to define a symbol (see the discussion of <a href="http://geda.seul.org/wiki/docs:20060124:gschem_ug:app_a"; class="wikilink2" title="docs:20060124:gschem_ug:app_a">Appendix A -- Heavy vs Light Symbol Libraries</a>). The <strong>gschem</strong> default is to define symbols as &ldquo;light&rdquo;, indicating that the symbol includes as few attributes as necessary to describe the symbol. &ldquo;light&rdquo; symbols depend on the designer attaching additional descriptive attributes to the symbol when the symbol is placed on the schematic. For example: a &ldquo;light&rdquo; symbol for a resistor might include just the graphic for a resistor, its pin attributes, and the &ldquo;refdes&rdquo; attribute. This describes a resistor. It would be the designer&rsquo;s responsibility, after the resistor has been placed on the schematic, to add the &ldquo;value&rdquo; and &ldquo;footprint&rdquo; attributes appropriate for the specific resistor in the circuit. A &ldquo;heavy&rdquo; symbol includes more descriptive attributes. Using &ldquo;light&rdquo; vs. &ldquo;heavy&rdquo; symbols is up to the designer.<br/>
  + A symbol&rsquo;s attributes may be flagged as either visible or invisible. Attributes are flagged as invisible to reduce the clutter around a symbol on the schematic.<br/>
  + When creating or editing the symbol, and changing a visible attribute to an invisible attribute, the attribute can not be viewed during further editing of the symbol. It becomes difficult to place attribute text. To view both the visible and invisible text, select <strong>Edit | Show/Hide Inv Text</strong>.<br/>
  + When a symbol is instantiated on a schematic as a component, only the symbol&rsquo;s visible attributes are promoted to the component. For example: if a resistor&rsquo;s symbol defines &ldquo;refdes&rdquo; as the only visible attribute defined in the symbol, the only attribute that the component contains is the &ldquo;refdes&rdquo; attribute). Those attributes not included in the symbol definition may be added at the schematic level, component-by-component.<br/>
  + This operation is useful when drawing/debugging symbols.<br/>
  + When hidden text is visible, &ldquo;Show Hidden&rdquo; will appear on the status-bar in the lower right.
  +</p>
  +
  +</div>
  +<!-- SECTION [79357-82184] -->
  +<h3><a name="make_inv_text_vis_ev" id="make_inv_text_vis_ev">Make Inv Text Vis (ev)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Edit | Make Inv Text Vis</strong> is a quick method of making all of a component&rsquo;s invisible attributes visible. The same effect can be accomplished by double-clicking on the component and marking all of the entries in the Attributes listbox as &ldquo;Vis?&rdquo; (i.e., visible).<br/>
  + To <strong>Make Inv Text Vis</strong> for a component:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the component(s) in <strong>Select Mode</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Edit | Make Inv Text Vis</strong></div>
  +</li>
  +</ul>
  +
  +<p>
  + The attributes that had been hidden are displayed.<br/>
  + To hide the attributes again, you need to double-click the component to bring up it&rsquo;s &ldquo;Edit Attributes&rdquo; dialog box, and explicitly place a check for each attribute you want hidden.
  +</p>
  +
  +</div>
  +<!-- SECTION [82185-82848] -->
  +<h2><a name="buffer" id="buffer">Buffer</a></h2>
  +<div class="level2">
  +
  +<p>
  +<strong>gschem</strong> supports 5 copy/cut/paste buffers which are visible across all opened pages and windows.
  +</p>
  +
  +</div>
  +<!-- SECTION [82849-82968] -->
  +<h3><a name="copy_into_1_2_3_4_5_yc" id="copy_into_1_2_3_4_5_yc">Copy into 1/2/3/4/5 (yc)</a></h3>
  +<div class="level3">
  +
  +<p>
  +To copy something into a buffer:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Select the objects you want to copy.</div>
  +</li>
  +<li class="level1"><div class="li"> Select Buffer/Copy/Copy into buffer #.</div>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [82969-83121] -->
  +<h3><a name="cut_into_1_2_3_4_5_yu" id="cut_into_1_2_3_4_5_yu">Cut into 1/2/3/4/5 (yu)</a></h3>
  +<div class="level3">
  +
  +<p>
  +Cut is like copy in that it removes the objects from the schematic
  +</p>
  +
  +</div>
  +<!-- SECTION [83122-83223] -->
  +<h3><a name="paste_from_1_2_3_4_5_yp" id="paste_from_1_2_3_4_5_yp">Paste from 1/2/3/4/5 (yp)</a></h3>
  +<div class="level3">
  +
  +<p>
  +To paste a buffer into the current schematic:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Fill the buffer using the above Copy or Cut.</div>
  +</li>
  +<li class="level1"><div class="li"> Go to the new schematic page/window.</div>
  +</li>
  +<li class="level1"><div class="li"> Select Buffer/Paste/Paste from buffer #.</div>
  +</li>
  +<li class="level1"><div class="li"> Click the first mouse button to pick an anchor point.</div>
  +</li>
  +<li class="level1"><div class="li"> Move the mouse to the final spot.</div>
  +</li>
  +<li class="level1"><div class="li"> Click the first mouse button again.</div>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [83224-83577] -->
  +<h2><a name="view" id="view">View</a></h2>
  +<div class="level2">
  +
  +</div>
  +<!-- SECTION [83578-83595] -->
  +<h3><a name="redraw_vr" id="redraw_vr">Redraw (vr)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>View | Redraw</strong> re-paints the current window.<br/>
  + This is useful when you have mouse/component/line/text etc... droppings left over from a previous action. It is also useful when you want to update all visual connectivity cues.
  +</p>
  +
  +</div>
  +<!-- SECTION [83596-83846] -->
  +<h3><a name="pan_x" id="pan_x">Pan (x)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>View | Pan</strong> lets you change the focus of the display.<br/>
  + To pan the display:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select <strong>View | Pan</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Click the first mouse button at the new center of the display.</div>
  +</li>
  +</ul>
  +
  +<p>
  + To pan the display using the shortcut is much simpler, simply place the mouse pointer where you want the display centered and type &ldquo;x&rdquo;. The display will jump to the mouse&rsquo;s location.<br/>
  + Pan behavior is configurable. The system-gschemrc file defines: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(third-button &quot;popup&quot;)</font></pre>
  +</p>
  +
  +<p>
  +If you override the system-gschemrc&rsquo;s default, of popping up a menu when the third mouse button is clicked, by adding: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(third-button &quot;mousepan&quot;)</font></pre>
  +</p>
  +
  +<p>
  +to either your user&rsquo;s ~/gschemrc file or local &lsquo;pwd&rsquo;/gschemrc file, the third mouse button (i.e., the right mouse button on scroll-wheel mice) will allow you to pan the schematic by holding down the third mouse button and dragging.<br/>
  + The system-gschemrc file also defines: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(fast-mousepan &quot;enabled&quot;)</font></pre>
  +</p>
  +
  +<p>
  +If you override the system-gschemrc&rsquo;s default by adding: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(fast-mousepan &quot;disabled&quot;)</font></pre>
  +</p>
  +
  +<p>
  +to either your user&rsquo;s ~/gschemrc file or local &lsquo;pwd&rsquo;/gschemrc file, text will be displayed properly when the third mouse button is held down while dragging. The <code>(third-button &ldquo;mousepan&rdquo;)</code> setting must also be applied for this to work. Disabling <code>fast-mousepan</code> adversely effects rendering speed while panning on large &ldquo;complicated&rdquo; schematics.<br/>
  + The system-gschemrc file also defines: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(zoom-with-pan &quot;enabled&quot;)</font></pre>
  +</p>
  +
  +<p>
  +If you override the system-gschemrc&rsquo;s default by adding: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(zoom-with-pan &quot;disabled&quot;)</font></pre>
  +</p>
  +
  +<p>
  +to either your user&rsquo;s ~/gschemrc file or local &lsquo;pwd&rsquo;/gschemrc file, whenever you zoom in/out, the zoom will NOT center on the mouse pointer, effectively removing the pan feature of the zoom in/out operations.
  +</p>
  +
  +</div>
  +<!-- SECTION [83847-85643] -->
  +<h3><a name="zoom_box_w" id="zoom_box_w">Zoom Box (w)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>View | Zoom Box</strong> allows you to draw a box around a part of the <strong>gschem</strong> window and zoom  in.<br/>
  + To use <strong>View | Zoom Box</strong>:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select <strong>View | Zoom Box</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Position the mouse pointer at one corner of the box you want to draw</div>
  +</li>
  +<li class="level1"><div class="li"> Click and hold down the first mouse button</div>
  +</li>
  +<li class="level1"><div class="li"> Drag the mouse, drawing the zoom box around the area to which you want to zoom</div>
  +</li>
  +<li class="level1"><div class="li"> Release the mouse button and the display will zoom</div>
  +</li>
  +</ul>
  +
  +<p>
  + To use <strong>View | Zoom Box</strong> by typing the equivalent shortcut (i.e., &ldquo;<strong>w</strong>&ldquo;) is more convenient. Simply position the mouse pointer at one corner of the zoom box, then type &ldquo;<strong>w</strong>&ldquo;.  The zoom box will start immediately using the current mouse location as the first corner of the box.<br/>
  + <strong>View | Zoom Box</strong> will attempt to zoom to the requested area, but some boxes are not legal and <strong>gschem</strong> will do it&rsquo;s best to zoom the requested area.
  +</p>
  +
  +</div>
  +<!-- SECTION [85644-86524] -->
  +<h3><a name="zoom_extents_ve" id="zoom_extents_ve">Zoom Extents (ve)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>View | Extents</strong> will zoom the display to fit all of the placed objects into the current window.<br/>
  + To view all of the current window&rsquo;s objects:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select <strong>View | Extents</strong></div>
  +</li>
  +</ul>
  +
  +<p>
  + Typing the <strong>View | Extents</strong> shortcut (i.e., &ldquo;<strong>ve</strong>&ldquo;) is particularly convenient for those that have learned to navigate the schematics using the shortcuts.<br/>
  +
  +</p>
  +
  +</div>
  +<!-- SECTION [86525-86891] -->
  +<h3><a name="zoom_in_z" id="zoom_in_z">Zoom In (z)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>View | Zoom In</strong> zooms the display in. The current center of the window is the center of the new window. This command zooms in by a factor.<br/>
  + To zoom in:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select <strong>View | Zoom In</strong></div>
  +</li>
  +</ul>
  +
  +<p>
  + The (zoom-with-pan &ldquo;enabled&rdquo;) configuration setting in the gschemrc files effects the operation of the zoom in shortcut (i.e., &ldquo;<strong>z</strong>&ldquo;). The default system-gschemrc setting for: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(zoom-with-pan &quot;enabled&quot;)</font></pre>
  +</p>
  +
  +<p>
  +enables zooming in, using the mouse pointer&rsquo;s location as the new center of the window. If this changed to: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(zoom-with-pan &quot;disabled&quot;)</font></pre>
  +</p>
  +
  +<p>
  +in either your user&rsquo;s ~/gschemrc file or local &lsquo;pwd&rsquo;/gschemrc file, whenever you zoom in, the zoom will NOT center on the mouse pointer but will center on the current center of the window, effectively removing the pan feature of the zoom in operation.<br/>
  + To zoom in using the shortcut:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> If the default (zoom-with-pan &ldquo;enabled&rdquo;) is configured in one of the gschemrc files, position the mouse pointer in the window where you want the new center</div>
  +</li>
  +<li class="level1"><div class="li"> Type &ldquo;<strong>z</strong>&rdquo;</div>
  +</li>
  +</ul>
  +
  +<p>
  + Typing &ldquo;<strong>z</strong>&rdquo; is particularly convenient for those that have learned to navigate the schematics using the shortcuts.
  +</p>
  +
  +</div>
  +<!-- SECTION [86892-88053] -->
  +<h3><a name="zoom_out_z" id="zoom_out_z">Zoom Out (Z)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>View | Zoom Out</strong> zooms the display out. The current center of the window is the center of the new window. This command zooms out by a factor.<br/>
  + To zoom out:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select <strong>View | Zoom Out</strong></div>
  +</li>
  +</ul>
  +
  +<p>
  + The (zoom-with-pan &ldquo;enabled&rdquo;) configuration setting in the gschemrc files effects the operation of the zoom out shortcut (i.e., &ldquo;<strong>z</strong>&ldquo;). The default system-gschemrc setting for: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(zoom-with-pan &quot;enabled&quot;)</font></pre>
  +</p>
  +
  +<p>
  +enables zooming out, using the mouse pointer&rsquo;s location as the new center of the window. If this changed to: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(zoom-with-pan &quot;disabled&quot;)</font></pre>
  +</p>
  +
  +<p>
  +in either your user&rsquo;s ~/gschemrc file or local &lsquo;pwd&rsquo;/gschemrc file, whenever you zoom out, the zoom will NOT center on the mouse pointer but will center on the current center of the window, effectively removing the pan feature of the zoom out operation.<br/>
  + To zoom out using the shortcut:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> If the default (zoom-with-pan &ldquo;enabled&rdquo;) is configured in one of the gschemrc files, position the mouse pointer in the window where you want the new center</div>
  +</li>
  +<li class="level1"><div class="li"> Type &ldquo;<strong>Z</strong>&rdquo; (i.e., shift-z)</div>
  +</li>
  +</ul>
  +
  +<p>
  + Typing &ldquo;<strong>Z</strong>&rdquo; is particularly convenient for those that have learned to navigate the schematics using the shortcuts.
  +</p>
  +
  +</div>
  +<!-- SECTION [88054-89242] -->
  +<h3><a name="zoom_full_vf" id="zoom_full_vf">Zoom Full (vf)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>View | Zoom Full</strong> will zoom the display to the maximum possible displayable view.<br/>
  +
  +</p>
  +
  +<p>
  +To view the maximum displayable area:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select <strong>View | Zoom Full</strong></div>
  +</li>
  +</ul>
  +
  +<p>
  + The window contents will immediately change to show the maximum possible displayable view.<br/>
  +
  +</p>
  +
  +<p>
  +To view the maximum displayable area using the keyboard shortcut (i.e., &ldquo;<strong>vf</strong>&ldquo;):
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Type &ldquo;<strong>vf</strong>&rdquo;</div>
  +</li>
  +</ul>
  +
  +<p>
  + The window contents will immediately change to show the maximum possible displayable view.<br/>
  +
  +</p>
  +
  +<p>
  +<strong>View | Zoom Full</strong> is useful if you like to put your working notes outside the titleblock for you schematic, for example. Just remember, these notes would now be considered part of the windows extents, so if you were to <strong>View | Extents</strong>, the display would show the titleblock and your working notes.
  +</p>
  +
  +</div>
  +<!-- SECTION [89243-90021] -->
  +<h2><a name="page" id="page">Page</a></h2>
  +<div class="level2">
  +
  +</div>
  +<!-- SECTION [90022-90040] -->
  +<h3><a name="manager..._pm" id="manager..._pm">Manager... (pm)</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [90041-90068] -->
  +<h3><a name="next" id="next">Next (&gt;)</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [90069-90089] -->
  +<h3><a name="previous" id="previous">Previous (&lt;)</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [90090-90114] -->
  +<h3><a name="new_pe" id="new_pe">New (pe)</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [90115-90135] -->
  +<h3><a name="revert_pr" id="revert_pr">Revert (pr)</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [90136-90159] -->
  +<h3><a name="close_pc" id="close_pc">Close (pc)</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [90160-90182] -->
  +<h3><a name="discard_pd" id="discard_pd">Discard (pd)</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [90183-90207] -->
  +<h2><a name="add" id="add">Add</a></h2>
  +<div class="level2">
  +
  +</div>
  +<!-- SECTION [90208-90224] -->
  +<h3><a name="component..._i" id="component..._i">Component... (i)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Add | Component...</strong> Ouvre une boîte de dialogue qui vous 
  +</p>
  +<pre class="code">permet de placer les composants depuis les bibliothèques de composants.\\</pre>
  +
  +<p>
  +Pour placer un composant:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select <strong>Add |Component...</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Select a component Library from the left list-box</div>
  +</li>
  +<li class="level1"><div class="li"> Sélectionnez un Composant dans la liste de droite</div>
  +</li>
  +<li class="level1"><div class="li"> Confirm the symbol is correct by reviewing the image in the Preview window</div>
  +</li>
  +<li class="level1"><div class="li"> Move the mouse into the main drawing window (you should see an outline follow the mouse pointer).</div>
  +</li>
  +<li class="level1"><div class="li"> Press the first mouse button to anchor the component</div>
  +</li>
  +<li class="level1"><div class="li"> Position the mouse pointer, and keep pressing the first mouse button to anchor additional instances of the component</div>
  +</li>
  +<li class="level1"><div class="li"> When finished anchoring components, press the last mouse button or the ESC key</div>
  +</li>
  +</ul>
  +
  +<p>
  + Si un nom de composant est déjà sélectionné, taper Appliquer et déplacer la souris dans la fenêtre   principale vous permettra placer à nouveau ce composant.<br/>
  + Vous pouvez effectuer une rotation avant le placement du composant en cliquant sur le bouton du milieu. Pour chaque clic de bouton, le composant effectuera une rotation de 90 degrésdans le sens inverse des aiguilles.<br/>
  + Care has been taken to give components descriptive names in the libraries, though it is sometimes difficult to determine what the component really represents from its name.<br/>
  + For example: in the analog library there are four capacitor entries:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> capacitor-1.sym</div>
  +</li>
  +<li class="level1"><div class="li"> capacitor-2.sym</div>
  +</li>
  +<li class="level1"><div class="li"> capacitor-3.sym</div>
  +</li>
  +<li class="level1"><div class="li"> capacitor-4.sym</div>
  +</li>
  +</ul>
  +
  +<p>
  + It helps to preview the symbol in the &ldquo;Select Component&rdquo; dialog box before selecting and placing the symbol.
  +</p>
  +
  +</div>
  +<!-- SECTION [90225-91823] -->
  +<h3><a name="net_n" id="net_n">Net (n)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Net</strong> Dessine un nouveau segment de liaison.<br/>
  + A net is typically a contiguous set of line segments between two pins, though it is possible to draw nets between a pin and a point on another net, or between two nets.<br/>
  + For example, the following diagram shows 3 net segments:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Between R1 and R2</div>
  +</li>
  +<li class="level1"><div class="li"> Between R3 and R4</div>
  +</li>
  +<li class="level1"><div class="li"> Between the two nets</div>
  +</li>
  +</ul>
  +<table class="inline">
  +	<tr>
  +		<td> <a href="_detail/001geda_nets.html" class="media" title="geda:nets.jpg"><img src="http://geda.seul.org/wiki/_media/geda:nets.jpg?w=&h=&cache=cache"; class="media" title="nets.jpg" alt="nets.jpg" /></a> </td>
  +	</tr>
  +</table>
  +<br />
  +
  +<p>
  + Note the small red segment at the end of the resistor&rsquo;s pins. All pins in <strong>gschem</strong> have this red segment. This is the connectivity cue for the pin. It is sometimes difficult to &ldquo;grab&rdquo; this attachment point when drawing nets, you may need to zoom in on the pin. To zoom in, press the &ldquo;z&rdquo; key. To zoom out, press the &ldquo;Z&rdquo; (i.e., shift-z) key.<br/>
  + To begin drawing a net using the menu:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select <strong>Add | Net</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Zoom in on the component where the net is to start, so that you can easily grab the pin&rsquo;s attachment point</div>
  +</li>
  +<li class="level1"><div class="li"> Position the mouse pointer over the pin&rsquo;s connectivity cue</div>
  +</li>
  +<li class="level1"><div class="li"> Press the first mouse button to start the net</div>
  +</li>
  +</ul>
  +
  +<p>
  + To begin drawing the net using the shortcut:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Zoom in on the component where the net is to start, so that you can easily grab the pin&rsquo;s attachment point</div>
  +</li>
  +<li class="level1"><div class="li"> Position the mouse pointer over the pin&rsquo;s connectivity cue</div>
  +</li>
  +<li class="level1"><div class="li"> Press the &ldquo;n&rdquo; key</div>
  +</li>
  +</ul>
  +
  +<p>
  + To continue drawing the net segment(s):
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Drag the mouse pointer in the direction of the end-point</div>
  +</li>
  +<li class="level1"><div class="li"> For any necessary 90º turns, single-click the mouse, and continue dragging the mouse pointer toward the end-point</div>
  +</li>
  +<li class="level1"><div class="li"> For any necessary non-orthogonal net segments (e.g., 45º angle), hold down the CONTROL button, single-click the mouse, and continue dragging the mouse pointer to the end-point</div>
  +</li>
  +<li class="level1"><div class="li"> When you reach the end-point, press the first mouse button to end the net segment</div>
  +</li>
  +<li class="level1"><div class="li"> Another net segment will start at the last end-point</div>
  +<ul>
  +<li class="level2"><div class="li"> If this second pin is to be connected to a third pin, continue as above</div>
  +</li>
  +<li class="level2"><div class="li"> If this is the end of the connections, press the last mouse button (or ESC) to end the net</div>
  +</li>
  +</ul>
  +</li>
  +</ul>
  +
  +<p>
  + Appuyez sur le dernier bouton de souris ou sur ESC pour effacer toute liaison en cours.<br/>
  + If the net is cancelled you are automatically placed in <strong>Select Mode</strong>. You must pick <strong>Add | Net</strong> again or type the shortcut to add more nets.<br/>
  + You cannot connect a net segment to the middle of a non-orthogonal net.<br/>
  + The boxes at the end of the nets are connectivity cues. Red boxes signify a dangling net (not connected to anything).<br/>
  + Filled circles are midpoint connections/junctions. These cues are drawn automatically and are an indicator of electrical connectivity.<br/>
  + See <a href="http://geda.seul.org/wiki/docs:20060124:gschem_ug:electrical_connectivity"; class="wikilink2" title="docs:20060124:gschem_ug:electrical_connectivity">Electrical Connectivity</a> for more information.
  +</p>
  +
  +</div>
  +<!-- SECTION [91824-94455] -->
  +<h3><a name="bus_u" id="bus_u">Bus (u)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Add | Bus</strong> est basiquement la même chose que <strong>Add | Net</strong>, except that it draws buses.<br/>
  + Buses are very new and there are many aspects which are not defined yet, so keep that in mind as you uses buses. More to be added here eventually.
  +</p>
  +
  +</div>
  +<!-- SECTION [94456-94715] -->
  +<h3><a name="attribute..._aa" id="attribute..._aa">Attribute... (aa)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Add | Attribute...</strong> is appropriate when creating or editing symbols, to add a new attribute.<br/>
  + <strong>Add | Attribute...</strong> brings up the &ldquo;Single Attribute Editor&rdquo; dialog box. This dialog box is ONLY used to add attributes. It does not display or manipulate already placed attributes.<br/>
  + Un attribut n&rsquo;est rien de plus qu&rsquo;un objet texte qui est de la forme name=value (Il ne peut y avoir  d&rsquo;espace à gauche et à droite de la paire name,value). Il peut soit être attaché à un objet ou détaché.<br/>
  + Pour attacher un attribut détaché (e.g., &ldquo;comment&rdquo;, &ldquo;documentation&rdquo;, etc.) au symbole:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select <strong>Add | Attribute...</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Select an attribute name off of the pulldown list, or type the attribute name into the name entry</div>
  +</li>
  +<li class="level1"><div class="li"> Saisissez la valeur pour l&rsquo;attribut</div>
  +</li>
  +<li class="level1"><div class="li"> Pick any of the attribute options</div>
  +</li>
  +<li class="level1"><div class="li"> Cliquez sur OK et l&rsquo;attribut sera placé.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Si vous voulez attacher un attribut à un objet, sélectionnez d&rsquo;abord l&rsquo;objet souhaité et pressez ensuite  <strong>Add | Attribute...</strong> depuis le menu déroulant. Si vous cliquez sur un objet qui possède des attributs attachés, ils doivent être aussi sélectionnés.<br/>
  + Si vous sélectionnez <strong>Add | Attribute...</strong> en dehors des menus déroulants alors vous n&rsquo;avez pas beaucoup de contrôle sur le placement de l&rsquo;attribut (il prend place soit en bas à gauche de l&rsquo;extension de l&rsquo;objet soit à l&rsquo;origine de tout objet sélectionné). Si vous exécutez néanmoins Add/Attribute (i.e., &ldquo;aa&rdquo;) en utilisant la touche de raccourci alors la position courante de la souris est utilisé comme point d&rsquo;encrage pour l&rsquo;objet attribut.<br/>
  + Vous ne pouvez pas placer un attribut incomplet (un attribut sans nom et sans valeur).<br/>
  + Please see <a href="http://geda.seul.org/wiki/docs:20060124:gschem_ug:components_symbols_objects_attributes"; class="wikilink2" title="docs:20060124:gschem_ug:components_symbols_objects_attributes">Components/Symbols/Objects/Attributes</a> for more info on how to use attributes.
  +</p>
  +
  +</div>
  +<!-- SECTION [94716-96522] -->
  +<h3><a name="text..._at" id="text..._at">Text... (at)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Add | Text...</strong> displays the &ldquo;Text Entry...&rdquo; dialog box. To place text:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select <strong>Options | Text Size...</strong> and select the size for the text</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Add | Text...</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Type the text in the entry field (multiple lines may be entered by pressing Enter)</div>
  +</li>
  +<li class="level1"><div class="li"> Press Apply or type Enter</div>
  +</li>
  +<li class="level1"><div class="li"> Déplacez la souris dans la fenêtre principale (un soulignement de texte devrait apparaître et suivre </div>
  +</li>
  +</ul>
  +
  +<p>
  +la souris)
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Pressez le premier bouton de souris pour ancrer le texte</div>
  +</li>
  +<li class="level1"><div class="li"> Close the &ldquo;Text Entry...&rdquo; dialog box</div>
  +</li>
  +</ul>
  +
  +<p>
  + Si vous laissez la boîte de dialogue d&rsquo;ajout de texte (<strong>Add | Text...</strong>) ouverte, vous pouvez replacer le même objet texte encore et encore en cliquant simplement sur apply (ou en pressant enter) et en déplaçant la souris dans la fenêtre principale.<br/>
  + The following settings in the system-gschemrc file, the user&rsquo;s ~/gschemrc file, or the local &lsquo;pwd&rsquo;/gschemrc file control how text is displayed:</p>
  +<dl>
  +<dt><span class='term'> text-origin-marker</span></dt>
  +<dd>Controls if the text origin markers are displayed.</dd>
  +<dt><span class='term'> text-size</span></dt>
  +<dd>Sets the default text size.</dd>
  +<dt><span class='term'> text-caps-style</span></dt>
  +<dd>Sets the default caps style used for the display of text</dd>
  +<dt><span class='term'> output-text</span></dt>
  +<dd>Controls how text is rendered to postscript</dd>
  +</dl>
  +<p>Le texte placé débutera automatiquement avec une majuscule. Veuillez voir la section Fichiers  Ressource ci-dessous sur comment contrôler ce comportement.<br/>
  + Pour effacer un emplacement de texte, pressez le dernier bouton de souris ou sur la touche ESC.<br/>
  + Si vous créez du texte dans la forme name=value alors vous êtes en cours de création d&rsquo;attributs. gEDA permet aux attributs généraux de flotter librement (ou détachés). C&rsquo;est une bonne idée de changer la couleur de ces attributs flottants à la couleur des attributs courants (qui est aussi appelée couleur d&rsquo;attribut attaché) pour indiquer que le l&rsquo;objet texte est un attribut.<br/>
  + Vous pouvez faire pivoter le texte avant de le placer en cliquant sur le bouton milieu. Pour chaque clic de bouton, le texte fera une rotation de 90 degrés.<br/>
  +
  +</p>
  +
  +</div>
  +<!-- SECTION [96523-98533] -->
  +<h3><a name="line_l" id="line_l">Line (l)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Add | Line</strong> draws a single line segment.<br/>
  + To draw a line:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> If you want to snap the line to the grid, set the grid spacing by selecting <strong>Options | Snap Grid Spacing...</strong> and entering the grid spacing, and then selecting <strong>Options | Toggle Snap On/Off</strong> until you don&rsquo;t see &ldquo;Snap Off&rdquo; on the status-bar</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Add | Line</strong></div>
  +</li>
  +<li class="level1"><div class="li"> If you want to constrain the line to 90º movements, hold the CONTROL key down while moving the mouse</div>
  +</li>
  +<li class="level1"><div class="li"> Position the mouse pointer to the start-point</div>
  +</li>
  +<li class="level1"><div class="li"> Press the first mouse button</div>
  +</li>
  +<li class="level1"><div class="li"> Move the mouse in the intended direction</div>
  +</li>
  +<li class="level1"><div class="li"> When positioned at the end-point, press the first mouse button</div>
  +</li>
  +<li class="level1"><div class="li"> Continue placing lines, until you either press the second mouse button or type the Escape key</div>
  +</li>
  +</ul>
  +
  +<p>
  +   <strong>Add | Line</strong> dessine une ligne de la même manière qu&rsquo;en dessinant les réseaux avec les exceptions suivantes:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Une ligne n&rsquo;a pas de signification électrique</div>
  +</li>
  +<li class="level1"><div class="li"> Seule un segment de ligne est dessiné</div>
  +</li>
  +<li class="level1"><div class="li"> Vous gardez les lignes dessinées tant que vous êtes dans le mode de dessin de lignes (<strong>Line Mode</strong>).</div>
  +</li>
  +</ul>
  +
  +<p>
  + Pour effacer une ligne directe en cours, pressez le dernier bouton de souris ou la touche ESC.
  +</p>
  +
  +</div>
  +<!-- SECTION [98534-99702] -->
  +<h3><a name="box_b" id="box_b">Box (b)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Add | Box</strong> draws a box. To draw a box:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> If you want to snap the box to the grid, set the grid spacing by selecting <strong>Options | Snap Grid Spacing...</strong> and entering the grid spacing, and then selecting <strong>Options | Toggle Snap On/Off</strong> until you donâ??t see â??Snap Offâ?? on the status-bar</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Add | Box</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Position the mouse pointer to the start-point</div>
  +</li>
  +<li class="level1"><div class="li"> Press the first mouse button</div>
  +</li>
  +<li class="level1"><div class="li"> Move the mouse in the intended direction</div>
  +</li>
  +<li class="level1"><div class="li"> When positioned at the end-point, press the first mouse button again</div>
  +</li>
  +<li class="level1"><div class="li"> Continue placing boxes, until you either press the second mouse button or type the ESC key</div>
  +</li>
  +</ul>
  +
  +<p>
  + To cancel a box in progress, press the last mouse button or type the ESC key.<br/>
  + A box has no electrical significance.
  +</p>
  +
  +</div>
  +<!-- SECTION [99703-100455] -->
  +<h3><a name="circle_ai" id="circle_ai">Circle (ai)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Add | Circle</strong> creates a circle.<br/>
  + To draw a circle:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> If you want to snap the box to the grid, set the grid spacing by selecting <strong>Options | Snap Grid Spacing...</strong> and entering the grid spacing, and then selecting <strong>Options | Toggle Snap On/Off</strong> until you donâ??t see â??Snap Offâ?? on the status-bar</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Add | Circle</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Placez le centre du cercle </div>
  +</li>
  +<li class="level1"><div class="li"> Pressez le premier bouton</div>
  +</li>
  +<li class="level1"><div class="li"> Déplacez la souris pour voir un surlignement du cercle</div>
  +</li>
  +<li class="level1"><div class="li"> Pressez le premier bouton de souris pour terminer le cercle</div>
  +</li>
  +<li class="level1"><div class="li"> Continue placing circles, until you either press the second mouse button or type the ESC key</div>
  +</li>
  +</ul>
  +
  +<p>
  + Pour dessiner un cercle (en utilisant le raccourci clavier), identique à au-dessus excepté que ce centre  du cercle est pris sur la dernière position de souris lorsque vous saisissez le raccourci.<br/>
  + Pour effacer un dessin de cercle en cours, pressez sur le dernier bouton de souris ou sur la touche ESC.<br/>
  +
  +</p>
  +
  +</div>
  +<!-- SECTION [100456-101406] -->
  +<h3><a name="arc_ar" id="arc_ar">Arc (ar)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Add | Arc</strong> draws an arc. Pour dessiner un arc:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> If you want to snap the box to the grid, set the grid spacing by selecting <strong>Options | Snap Grid Spacing...</strong> and entering the grid spacing, and then selecting <strong>Options | Toggle Snap On/Off</strong> until you donâ??t see â??Snap Offâ?? on the status-bar</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Add | Arc</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Place the mouse pointer at the center-point for the arc</div>
  +</li>
  +<li class="level1"><div class="li"> Press the first mouse button</div>
  +</li>
  +<li class="level1"><div class="li"> Move the mouse to the right, to define the radius of the arc</div>
  +</li>
  +<li class="level1"><div class="li"> Press the first mouse button again</div>
  +</li>
  +<li class="level1"><div class="li"> Enter the Start Angle (in degrees) &ndash; 0º is the &ldquo;x&rdquo; axis</div>
  +</li>
  +<li class="level1"><div class="li"> Enter the Degrees of Sweep (for counter-clockwise sweep)</div>
  +</li>
  +<li class="level1"><div class="li"> Press OK</div>
  +</li>
  +<li class="level1"><div class="li"> Continue placing arcs, until you either press the second mouse button or type the ESC key</div>
  +</li>
  +</ul>
  +
  +<p>
  + L&rsquo;angle de démarrage peut être positif ou négatif. Les degrés sont spécifiés en utilisant le système des coordonnées Cartésiennes standard. Les degrés de sweep peuvent être positifs ou négatifs.<br/>
  + Pour effacer un arc en cours de dessin (lorsqu&rsquo;il y a le rayon) pressez le dernier bouton de souris ou la touche ESC ou pressez le bouton Cancel dans la boîte de dialogue arc.
  +</p>
  +
  +</div>
  +<!-- SECTION [101407-102567] -->
  +<h3><a name="pin_ap" id="pin_ap">Pin (ap)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Add | Pin</strong> adds a pin.<br/>
  + Though you can <strong>Add | Pin</strong> while entering a schematic, it only makes sense to create pins while creating or editing symbol files.<br/>
  + To draw a pin:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Set the grid spacing by selecting <strong>Options | Snap Grid Spacing...</strong> and entering the grid spacing, and then selecting <strong>Options | Toggle Snap On/Off</strong> until you donâ??t see â??Snap Offâ?? on the status-bar. The <a href="http://www.geda.seul.org/docs/current/symbols/symbols.pdf"; class="urlextern" title="http://www.geda.seul.org/docs/current/symbols/symbols.pdf";  rel="nofollow">gEDA/gaf Symbol Creation Document</a> specifies grid settings for pin placement in gEDA/gaf compliant symbols files.</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Add | Pin</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Pins are constrained to 90º movements</div>
  +</li>
  +<li class="level1"><div class="li"> Position the mouse pointer to the start-point</div>
  +</li>
  +<li class="level1"><div class="li"> Press the first mouse button</div>
  +</li>
  +<li class="level1"><div class="li"> Move the mouse in the intended direction. The <a href="http://www.geda.seul.org/docs/current/symbols/symbols.pdf"; class="urlextern" title="http://www.geda.seul.org/docs/current/symbols/symbols.pdf";  rel="nofollow">gEDA/gaf Symbol Creation Document</a> specifies the length of pins in gEDA/gaf compliant symbol files.</div>
  +</li>
  +<li class="level1"><div class="li"> When positioned at the end-point, press the first mouse button</div>
  +</li>
  +<li class="level1"><div class="li"> Continue placing pins, until you either press the second mouse button or type the Escape key</div>
  +</li>
  +</ul>
  +
  +<p>
  + To cancel a pin in progress, press the last mouse button or the ESC key.
  +</p>
  +
  +</div>
  +<!-- SECTION [102568-103755] -->
  +<h3><a name="picture..._ag" id="picture..._ag">Picture... (ag)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Add | Picture</strong> places a graphic in the schematic. To draw a picture:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> If you want to snap the picture to the grid, set the grid spacing by selecting <strong>Options | Snap Grid Spacing...</strong> and entering the grid spacing, and then selecting <strong>Options | Toggle Snap On/Off</strong> until you donâ??t see â??Snap Offâ?? on the status-bar</div>
  +</li>
  +<li class="level1"><div class="li"> Select <strong>Add | Picture</strong></div>
  +</li>
  +<li class="level1"><div class="li"> Select the graphic file from the &ldquo;Please select a picture file&rdquo; dialog box</div>
  +</li>
  +<li class="level1"><div class="li"> Press OK</div>
  +</li>
  +<li class="level1"><div class="li"> Position the mouse pointer to the start-point</div>
  +</li>
  +<li class="level1"><div class="li"> Press the first mouse button</div>
  +</li>
  +<li class="level1"><div class="li"> Move the mouse in the intended direction. The outline of the picture will appear, retaining the aspect ratio of the original picture.</div>
  +</li>
  +<li class="level1"><div class="li"> When positioned at the end-point, press the first mouse button again.</div>
  +</li>
  +<li class="level1"><div class="li"> Continue placing pictures, until you either press the second mouse button or type the ESC key</div>
  +</li>
  +</ul>
  +
  +<p>
  + To cancel a picture in progress, press the last mouse button or type the ESC key.<br/>
  + A picture has no electrical significance.
  +</p>
  +
  +</div>
  +<!-- SECTION [103756-104751] -->
  +<h2><a name="hierarchy" id="hierarchy">Hierarchy</a></h2>
  +<div class="level2">
  +
  +</div>
  +<!-- SECTION [104752-104774] -->
  +<h3><a name="down_schematic_hd" id="down_schematic_hd">Down Schematic (Hd)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Hierarchy | Down Schematic</strong> shifts the focus from the current schematic to a sub-schematic.<br/>
  + Descend dans un symbole, en ouvrant tous les schémas sous-jacents. Cela ouvrira typiquement un schéma sous-jacent du composant sélectionné s&rsquo;il existe dans le chemin de recherche de la bibliothèque source. Voyez la section des Fichiers Ressource sur la manière de définir ce chemin.<br/>
  + Il y a actuellement deux manières de spécifier qu&rsquo;un symbole possède un schéma sous-jacent ou des schémas:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Le schéma sous-jacent doit avoir le même nom que le symbole mais avec une extension .sch et doit suivre la convention de nommage de suffixe _#. Voyez la section Fichiers au-dessus à propos de cette convention.</div>
  +</li>
  +<li class="level1"><div class="li"> Attacher un attribut au symbole appelé source=filename.sch filename.sch n&rsquo;est pas un chemin vers le symbole mais plutôt un nom de base (le dernier fichier dans le spécificateur de chemin) du chemin de symbole. Le schéma sous-jacent sera encore recherché dans le chemin de bibliothèque source. Vous pouvez spécifier des attributs source= multiples. Les schémas sous-jacents seront ouverts dans l&rsquo;ordre de découverte de l&rsquo;attribut source= .</div>
  +</li>
  +</ol>
  +
  +<p>
  + S&rsquo;il a des schémas sous-jacents multiples, ils seront chargés. Le mouvement entre les pages de schéma est limité (au niveau du même jeu des schémas sous-jacent) à moins que le mot-clé rc enforce-hierarchy ne soit modifié pour permettre un mode de libre circulation transversal. Veuillez voir la section des Fichiers de Ressource pour plus d&rsquo;informations.<br/>
  + Il est aussi recommandé que vous mainteniez des noms uniques pour les divers niveaux (lorsque vous  utilisez l&rsquo;attribut source<img src="lib/images/smileys/icon_smile2.gif" class="middle" alt="=)" /> pour éviter des possibles confusions. Les mécanismes hiérarchiques sont assez nouveaux et vous pouvez craindre quelques anomalies (et veuillez les rapporter s&rsquo;il vous plaît).
  +</p>
  +
  +</div>
  +<!-- SECTION [104775-106651] -->
  +<h3><a name="down_symbol_hs" id="down_symbol_hs">Down Symbol (Hs)</a></h3>
  +<div class="level3">
  +
  +<p>
  +Cette option ouvrira le symbole du composant sélectionné.<br/>
  + Une fois que le symbole est ouvert, l&rsquo;utilisateur peut l&rsquo;éditer et le sauvegarder.<br/>
  + A ce moment, le schéma principal ne verra pas les changements du symbole à moins que le schéma principal  ne soit rechargé ou que File/Revert ne soit exécuté. Cela sera modifié un jour.
  +</p>
  +
  +</div>
  +<!-- SECTION [106652-107020] -->
  +<h3><a name="up_hu" id="up_hu">Up (Hu)</a></h3>
  +<div class="level3">
  +
  +<p>
  +Cette option permettra de remonter dans la hiérarchie (s&rsquo;il y a des pages au-dessus de la page  actuellement affichée).
  +</p>
  +
  +</div>
  +<!-- SECTION [107021-107161] -->
  +<h3><a name="documentation_ho" id="documentation_ho">Documentation (Ho)</a></h3>
  +<div class="level3">
  +
  +<p>
  +Open any documentation available for the selected symbol/component.<br/>
  + The job is handed over to &ldquo;gschemdoc&rdquo;, which makes a best-effort attempt of finding relevant documentation.<br/>
  + The documention would normally be in <acronym title="Portable Document Format">PDF</acronym>, <acronym title="HyperText Markup Language">HTML</acronym>, text or image format, but gschemdoc tries to be as transparent as possible on this account.<br/>
  + First and foremost, the attribute &ldquo;documentation=&rdquo; is assumed to point to the documentation. This attribute should either be the filename (basename) of the document, or it should be a complete <acronym title="Uniform Resource Locator">URL</acronym>.<br/>
  + If it is a filename, and the file is found locally (in /usr/share/gEDA/documentation or otherwise), the relevant viewer will be initiated. Otherwise, a Google search for the document will be initiated.<br/>
  + If there is no documentation attribute, the attributes &ldquo;device&rdquo; and possibly &ldquo;value&rdquo; will be consulted in much the same way as for &ldquo;documentation&rdquo;. File searches will be made in forms of filenames like &ldquo;device-value.pdf&rdquo; and &ldquo;device.pdf&rdquo;.<br/>
  + Failing that, the file name for the symbol itself will be used as basis for the search.
  +</p>
  +
  +</div>
  +<!-- SECTION [107162-108246] -->
  +<h2><a name="attributes1" id="attributes1">Attributes</a></h2>
  +<div class="level2">
  +
  +</div>
  +<!-- SECTION [108247-108270] -->
  +<h3><a name="attach_ta" id="attach_ta">Attach (ta)</a></h3>
  +<div class="level3">
  +
  +<p>
  +The Attach command allows you to take a text item (in the proper form; <code>name=value</code>) and attach it to another object.<br/>
  + To use Attributes/Attach:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Select the object which will receive the attributes</div>
  +</li>
  +<li class="level1"><div class="li"> Select the text object(s) which will be attached to the above object</div>
  +</li>
  +<li class="level1"><div class="li"> Pick or type the shortcut for Attributes/Attach</div>
  +</li>
  +</ol>
  +
  +<p>
  + The order of the sequence of selecting the object and then the text items is important; <strong>gschem</strong> will not allow you to select the text items first and then the object. After going through the above sequence the text item will turn yellow (or the current attached attribute color) signifying that the text item is an attached attribute.<br/>
  + You cannot attach a single attribute to several different objects. You cannot attach non-text items as attributes.
  +</p>
  +
  +</div>
  +<!-- SECTION [108271-109078] -->
  +<h3><a name="detach_td" id="detach_td">Detach (td)</a></h3>
  +<div class="level3">
  +
  +<p>
  +Detach allows you to deassociate attributes from objects.<br/>
  + To deselect an object of all attributes:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Select the object of interest</div>
  +</li>
  +<li class="level1"><div class="li"> Pick or type the shortcut for Attributes/Detach</div>
  +</li>
  +</ol>
  +
  +<p>
  + All the attached attributes (even if they are not selected) will be detached from the object. This behavior is probably broken and will eventually be fixed (so that only selected attributes are detached).<br/>
  + When you detach attributes then they turn red (or the current detached attribute color). This color changes allows you to spot text which was an attribute and is now dangling (unattached).
  +</p>
  +
  +</div>
  +<!-- SECTION [109079-109685] -->
  +<h3><a name="show_value_tv" id="show_value_tv">Show Value (tv)</a></h3>
  +<div class="level3">
  +
  +<p>
  +These operations allow you to control which part of the attribute string is visible. Usually you are just interested in seeing the <code>value</code> of the attribute, but there are circumstances where seeing the <code>name</code> and <code>value</code> (or maybe just the <code>name</code>) would be useful.<br/>
  + To use the options:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Select the attribute(s) of interest</div>
  +</li>
  +<li class="level1"><div class="li"> Pick or type the shortcut for Attributes/Show *</div>
  +</li>
  +</ol>
  +
  +<p>
  + The text item(s) should immediately change.<br/>
  + These operations only work on text items which are in the form <code>name=value</code>
  +</p>
  +
  +</div>
  +<!-- SECTION [109686-110224] -->
  +<h3><a name="show_name_tn" id="show_name_tn">Show Name (tn)</a></h3>
  +<div class="level3">
  +
  +<p>
  +These operations allow you to control which part of the attribute string is visible. Usually you are just interested in seeing the <code>value</code> of the attribute, but there are circumstances where seeing the <code>name</code> and <code>value</code> (or maybe just the <code>name</code>) would be useful.<br/>
  + To use the options:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Select the attribute(s) of interest</div>
  +</li>
  +<li class="level1"><div class="li"> Pick or type the shortcut for Attributes/Show *</div>
  +</li>
  +</ol>
  +
  +<p>
  + The text item(s) should immediately change.<br/>
  + These operations only work on text items which are in the form <code>name=value</code>
  +</p>
  +
  +</div>
  +<!-- SECTION [110225-110762] -->
  +<h3><a name="show_both_tb" id="show_both_tb">Show Both (tb)</a></h3>
  +<div class="level3">
  +
  +<p>
  +These operations allow you to control which part of the attribute string is visible. Usually you are just interested in seeing the <code>value</code> of the attribute, but there are circumstances where seeing the <code>name</code> and <code>value</code> (or maybe just the <code>name</code>) would be useful.<br/>
  + To use the options:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Select the attribute(s) of interest</div>
  +</li>
  +<li class="level1"><div class="li"> Pick or type the shortcut for Attributes/Show *</div>
  +</li>
  +</ol>
  +
  +<p>
  + The text item(s) should immediately change.<br/>
  + These operations only work on text items which are in the form <code>name=value</code>
  +</p>
  +
  +</div>
  +<!-- SECTION [110763-111300] -->
  +<h3><a name="toggle_visibility_tt" id="toggle_visibility_tt">Toggle Visibility (tt)</a></h3>
  +<div class="level3">
  +
  +<p>
  +This operation allows you to toggle the visibility of attributes.<br/>
  + To use this option:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Select the text item(s) of interest</div>
  +</li>
  +<li class="level1"><div class="li"> Pick or type the shortcut for Attributes/Toggle Vis</div>
  +</li>
  +</ol>
  +
  +<p>
  + The text item(s) should change their visibility immediately.<br/>
  + If you make an attached attribute invisible, then you can simply select the parent object and select Toggle Vis and the attribute will be come visible (likewise any visible attributes attached to that object will become invisible).<br/>
  + If you make a free floating (unattached) attribute invisible, then the only way to make it visible (and all other invisible attributes) is to use the Edit/Show Hidden Text option.
  +</p>
  +
  +</div>
  +<!-- SECTION [111301-111996] -->
  +<h3><a name="find_specific_text..._t_shift-f" id="find_specific_text..._t_shift-f">Find Specific Text... (t shift-f)</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [111997-112041] -->
  +<h3><a name="hide_specific_text..._th" id="hide_specific_text..._th">Hide Specific Text... (th)</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [112042-112079] -->
  +<h3><a name="show_specific_text..._t_shift-h" id="show_specific_text..._t_shift-h">Show Specific Text... (t shift-h)</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [112080-112123] -->
  +<h3><a name="autonumber_text..._tu" id="autonumber_text..._tu">Autonumber Text... (tu)</a></h3>
  +<div class="level3">
  +
  +</div>
  +<!-- SECTION [112124-112158] -->
  +<h2><a name="options" id="options">Options</a></h2>
  +<div class="level2">
  +
  +</div>
  +<!-- SECTION [112159-112179] -->
  +<h3><a name="text_size..._ot" id="text_size..._ot">Text Size... (ot)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Options | Text Size...</strong> pops up a dialog box which allows you to specify the text size of all text (including attributes placed with the Add/Attribute... dialog box).<br/>
  + The text size is in points (1/72&rdquo;). The default text size is 10 point text. The smallest text size is 2 points.
  +</p>
  +
  +</div>
  +<!-- SECTION [112180-112492] -->
  +<h3><a name="toggle_grid_on_off_og" id="toggle_grid_on_off_og">Toggle Grid On/Off (og)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Options | Toggle Grid On/Off</strong> toggles the visible grid.
  +</p>
  +
  +</div>
  +<!-- SECTION [112493-112586] -->
  +<h3><a name="toggle_snap_on_off_os" id="toggle_snap_on_off_os">Toggle Snap On/Off (os)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Options | Toggle Snap On/Off</strong> toggles the snap. Be very careful using this. Connections between pins and nets (and nets to nets) depends on being exactly connected. Turning of the grid will almost guarantee that nets/pins do not connect.<br/>
  + Before you translate a symbol using Edit/Symbol Translate, make sure the snap is on.<br/>
  + When snap mode is off, the text &ldquo;Snap Off&rdquo; will appear in the lower, righthand corner.
  +</p>
  +
  +</div>
  +<!-- SECTION [112587-113038] -->
  +<h3><a name="snap_grid_spacing..._os" id="snap_grid_spacing..._os">Snap Grid Spacing... (oS)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Options | Snap Grid Spacing...</strong> brings up a dialog box which allows you to change the snap grid spacing (not the grid spacing). The units for this spacing are mils.<br/>
  + Before you translate a symbol using Edit/Symbol Translate, make sure this spacing is set to 100.
  +</p>
  +
  +</div>
  +<!-- SECTION [113039-113342] -->
  +<h3><a name="toggle_outline_box_oa" id="toggle_outline_box_oa">Toggle Outline/Box (oa)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Options | Toggle Outline/Box</strong> toggles between drawing the outline of the current selection or just drawing a box when doing moves/copies/component and text places. The outline mode looks better, but tends to be significantly slower than using the box (bounding box) mode.
  +</p>
  +
  +</div>
  +<!-- SECTION [113343-113652] -->
  +<h3><a name="toggle_net_rubberband_or" id="toggle_net_rubberband_or">Toggle Net/Rubberband (or)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Options | Toggle Net/Rubberband</strong> .....????
  +</p>
  +
  +</div>
  +<!-- SECTION [113653-113736] -->
  +<h3><a name="show_log_window_ol" id="show_log_window_ol">Show Log Window (ol)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Options | Show Log Window</strong> displays the log window if it has been closed or disabled from being displayed when you start up <strong>gschem</strong>.
  +</p>
  +
  +</div>
  +<!-- SECTION [113737-113907] -->
  +<h3><a name="show_coord_window..._oc" id="show_coord_window..._oc">Show Coord Window... (oc)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Options | Show Coord Window...</strong> displays a pop-up window that displays the coordinates of the mouse pointer on the schematic. Useful for accurately placing objects.
  +</p>
  +
  +</div>
  +<!-- SECTION [113908-114112] -->
  +<h2><a name="help" id="help">Help</a></h2>
  +<div class="level2">
  +
  +</div>
  +<!-- SECTION [114113-114130] -->
  +<h3><a name="about..._ha" id="about..._ha">About... (ha)</a></h3>
  +<div class="level3">
  +
  +<p>
  +Every <acronym title="Graphical User Interface">GUI</acronym> application needs an <strong>Help | About...</strong> feature, to display:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> The application&rsquo;s name.</div>
  +</li>
  +<li class="level1"><div class="li"> The version of the software.</div>
  +</li>
  +<li class="level1"><div class="li"> The author&rsquo;s name(s) and how to contact.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [114131-114333] -->
  +<h3><a name="manual..._hm" id="manual..._hm">Manual... (hm)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Help | Manual...</strong> brings up the &ldquo;gEDA/gaf Documention&rdquo; web-page installed on this computer. Very useful for accessing the various documentation available for the gEDA/gaf applications.
  +</p>
  +
  +</div>
  +<!-- SECTION [114334-114547] -->
  +<h3><a name="hotkeys..._hh" id="hotkeys..._hh">Hotkeys... (hh)</a></h3>
  +<div class="level3">
  +
  +<p>
  +<strong>Help | Hotkeys...</strong> lists the current hotkeys (a.k.a., shortcuts, a.k.a., keyboard accellerators).
  +</p>
  +
  +</div>
  +<!-- SECTION [114548-114675] -->
  +<h3><a name="component..._ho" id="component..._ho">Component... (Ho)</a></h3>
  +<div class="level3">
  +
  +<p>
  +If you select a component on the schematic, and select <strong>Help | Component...</strong>, <strong>gschem</strong> uses the gschemdoc script to do its best job finding some documentation appropriate for the component:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> If the component&rsquo;s symbol included the <code>documentation=documentation_locator</code> attribute, this <acronym title="Uniform Resource Identifier">URI</acronym> is used to retreive the documentation. If the full <acronym title="Uniform Resource Identifier">URI</acronym> is given, the <acronym title="Uniform Resource Identifier">URI</acronym> on the internet is retrieved. If the <acronym title="Uniform Resource Identifier">URI</acronym> is not fully qualified, it is considered to be local to this computer, and is searched for and displayed.</div>
  +</li>
  +<li class="level1"><div class="li"> If the component&rsquo;s symbol does not include the <code>documentation=documentation_locator</code> attribute, a Google search is performed for <acronym title="Portable Document Format">PDF</acronym> documents, based on the <code>device=</code> attribute, the <code>value=</code> attribute, and the symbol basename.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [114676-115458] -->
  +<h1><a name="appendix_a_--_heavy_vs_light_symbol_libraries" id="appendix_a_--_heavy_vs_light_symbol_libraries">Appendix A -- Heavy vs Light Symbol Libraries</a></h1>
  +<div class="level1">
  +
  +<p>
  +A short discussion of Light Symbol Libraries as the default for <strong>gschem</strong>, and the option to build your own Heavy Symbol Libraries.
  +</p>
  +
  +</div>
  +<!-- SECTION [115459-115652] -->
  +<h1><a name="appendix_b_--_printing_schematics_and_symbols" id="appendix_b_--_printing_schematics_and_symbols">Appendix B -- Printing Schematics and Symbols</a></h1>
  +<div class="level1">
  +
  +<p>
  +To be supplied...
  +</p>
  +
  +</div>
  +<!-- SECTION [115653-115731] -->
  +<h1><a name="appendix_c_--_writing_guile_scripts" id="appendix_c_--_writing_guile_scripts">Appendix C -- Writing guile Scripts</a></h1>
  +<div class="level1">
  +
  +<p>
  +To be supplied...
  +</p>
  +
  +</div>
  +<!-- SECTION [115732-115800] -->
  +<h1><a name="appendix_d_--_i_want_to_build_a_printed_circuit_board" id="appendix_d_--_i_want_to_build_a_printed_circuit_board">Appendix D -- I Want To Build A Printed Circuit Board</a></h1>
  +<div class="level1">
  +
  +<p>
  +<strong>gschem</strong> is used for two primary design workflows:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Circuit design intended for production of Printed Circuit Boards (PCBs).</div>
  +</li>
  +<li class="level1"><div class="li"> Circuit design intended for simulation.</div>
  +</li>
  +</ul>
  +
  +<p>
  + The following guidelines will assist you in developing quality designs intended for use by applications that support the creation of Printed Circuit Boards:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <span class="hilited">To be supplied...</span></div>
  +</li>
  +</ul>
  +
  +<p>
  + The following on-line tutorials are an excellent method for the beginner to learn the gEDA Tools Suite design workflow resulting in a PCB:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <a href="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/gschem-warmup.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/gschem-warmup.html";  rel="nofollow">Bill Wilson's gschem warmup</a></div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html";  rel="nofollow">Bill Wilson's gsch2pcb tutorial</a></div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [115801-116590] -->
  +<h1><a name="appendix_e_--_i_want_to_simulate_my_design" id="appendix_e_--_i_want_to_simulate_my_design">Appendix E -- I Want To Simulate My Design</a></h1>
  +<div class="level1">
  +
  +<p>
  +<strong>gschem</strong> is used for two primary design workflows:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Circuit design intended for production of Printed Circuit Boards.</div>
  +</li>
  +<li class="level1"><div class="li"> Circuit design intended for simulation.</div>
  +</li>
  +</ul>
  +
  +<p>
  + The following guidelines will assist you in developing quality designs intended for simulation:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Discuss attributes appropriate for a SPICE model, and how these differ from attributes appropriate for a PCB.</div>
  +</li>
  +<li class="level1"><div class="li"> <span class="hilited">To be supplied...</span></div>
  +</li>
  +</ul>
  +
  +<p>
  + The following on-line tutorials are an excellent method for the beginner to learn the gEDA Tools Suite design workflow resulting in a SPICE simulation:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <a href="http://www.brorson.com/gEDA/SPICE/t1.html"; class="urlextern" title="http://www.brorson.com/gEDA/SPICE/t1.html";  rel="nofollow">&quot;Circuit simulation using gEDA and SPICE -- HOWTO&quot; by Stuart Brorson</a></div>
  +</li>
  +<li class="level1"><div class="li"> <a href="http://www-mdp.eng.cam.ac.uk/urop05/files/gedalib/starting_gEDA.pdf"; class="urlextern" title="http://www-mdp.eng.cam.ac.uk/urop05/files/gedalib/starting_gEDA.pdf";  rel="nofollow">Starting with gEDA at the Cambridge University Engineering Department</a></div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [116591-117470] -->
  +<h1><a name="appendix_f_--_change_gschemdoc_user-defined_preferences" id="appendix_f_--_change_gschemdoc_user-defined_preferences">Appendix F -- Change gschemdoc User-Defined Preferences</a></h1>
  +<div class="level1">
  +
  +<p>
  +As installed, the <strong>gschemdoc</strong> utility script is used by <strong>gschem</strong> to:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Display the &ldquo;gEDA/gaf Documentation&rdquo; (i.e., <strong>Help | Manual...</strong>)</div>
  +</li>
  +<li class="level1"><div class="li"> Display component documentation (i.e., <strong>Help | Component...</strong>)</div>
  +</li>
  +</ul>
  +
  +<p>
  + The list of application launchers that <strong>gschemdoc</strong> uses are defined in the {binary-install-path}/bin/gschemdoc file: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">#
  +# these may be changed to suit local preferences
  +#
  +CANDIDATE_BROWSER=&quot;galeon mozilla phoenix netscape netscape-navigator opera firefox konqueror&quot;
  +CANDIDATE_PDFREADER=&quot;xpdf acroread ggv gv&quot;
  +CANDIDATE_LOCATE=&quot;slocate locate&quot;</font></pre>
  +</p>
  +
  +<p>
  +To select a different application launcher, simply edit the {binary-install-path}/bin/gschemdoc file, find the above lines, and move your favorite application to the beginning of the list. For example, to use <strong>firefox</strong> as your preferred browser, move it to the beginning of the <strong>CANDIDATE_BROWSER=</strong> list, to use <strong>acroread</strong> (Adobe&rsquo;s Acrobat Reader) as your preferred <acronym title="Portable Document Format">PDF</acronym> reader, move it to the beginning of the <strong>CANDIDATE_PDFREADER=</strong> list, and to use <strong>locate</strong> as your preferred filesystem search utility, move it to the beginning of the <strong>CANDIDATE_LOCATE=</strong> list.
  +</p>
  +
  +</div>
  +<!-- SECTION [117471-118687] -->
  +<h1><a name="appendix_g_--_breaking_a_large_symbol_into_smaller_symbols" id="appendix_g_--_breaking_a_large_symbol_into_smaller_symbols">Appendix G -- Breaking a Large Symbol Into Smaller Symbols</a></h1>
  +<div class="level1">
  +
  +<p>
  +To be supplied...
  +</p>
  +
  +</div>
  +<!-- SECTION [118688-118779] -->
  +<h1><a name="appendix_h_--_definition_of_terms" id="appendix_h_--_definition_of_terms">Appendix H -- Definition of Terms</a></h1>
  +<div class="level1">
  +
  +<p>
  +Some terms used in the art of schematic capture:</p>
  +<dl>
  +<dt><span class='term'> <strong>attribute</strong></span></dt>
  +<dd>A text item which is in the form <code>name=value</code>. It can be either unattached or attached.</dd>
  +<dt><span class='term'> <strong>buffer</strong></span></dt>
  +<dd>...</dd>
  +<dt><span class='term'> <strong>component</strong></span></dt>
  +<dd>Also know as <strong>part</strong>. The equivalent of an [electronics] device, as one may place on a printed circuit board. Components are instances of a <strong>symbol</strong> placed on a schematic.</dd>
  +<dt><span class='term'> <strong>device</strong></span></dt>
  +<dd>Also known as &ldquo;package&rdquo;. The equivalent of an [electronics] device, as one may place on a printed circuit board.</dd>
  +<dt><span class='term'> <strong>dialog box</strong></span></dt>
  +<dd>...</dd>
  +<dt><span class='term'> <strong>embedded component</strong></span></dt>
  +<dd>A component whose definition is saved as part of the schematic&rsquo;s file.</dd>
  +<dt><span class='term'> <strong>footprint</strong></span></dt>
  +<dd>Also known as a <strong>land pattern</strong>. The surface space occupied by a <strong>component</strong>/<strong>package</strong>/<strong>part</strong>.</dd>
  +<dt><span class='term'> <strong>gEDA/gaf</strong></span></dt>
  +<dd><strong>gschem</strong> is a component of the gEDA/gaf set of tools, which tend to integrate together in the development and maintenance of schematics and symbols. The term &ldquo;gaf&rdquo; stands for &ldquo;<em class="u">g</em>EDA <em class="u">a</em>nd <em class="u">f</em>riends&rdquo;).</dd>
  +<dt><span class='term'> <strong>grip</strong></span></dt>
  +<dd>...</dd>
  +<dt><span class='term'> <strong>guile</strong></span></dt>
  +<dd><a href="http://www.gnu.org/software/guile/"; class="urlextern" title="http://www.gnu.org/software/guile/";  rel="nofollow">GNU Guile</a> is an interpreter for Scheme, a version of Lisp.</dd>
  +<dt><span class='term'> <strong>HDL</strong></span></dt>
  +<dd>Hardware Description Language (e.g., VHDL, Verilog, etc.). Used to simulate or document a device.</dd>
  +<dt><span class='term'> <strong>hierarchical</strong></span></dt>
  +<dd>The concept that designs can contain nested levels of schematics, so that all the circuit doesn&rsquo;t need to be placed on a single sheet.</dd>
  +<dt><span class='term'> <strong>land pattern</strong></span></dt>
  +<dd>Also known as a <strong>footprint</strong>. The surface space occupied by a structure or device.</dd>
  +<dt><span class='term'> <strong>library</strong></span></dt>
  +<dd>A collection of symbols.</dd>
  +<dt><span class='term'> <strong>line</strong></span></dt>
  +<dd>A straight drawing element, connecting two points. On the schematic it has no electrical significance. In a symbol, a line is part of the symbol&rsquo;s graphic elements.</dd>
  +<dt><span class='term'> <strong>model</strong></span></dt>
  +<dd>A description of how a device behaves. Most often this is a SPICE model. The model is defined in <strong>gschem</strong>, but used in subsequent applications such as <strong>ngspice</strong>, <strong>gnucap</strong>, etc.</dd>
  +<dt><span class='term'> <strong>net</strong></span></dt>
  +<dd>A net connects two or more pins on a schematic, and is made up of segments. The net&rsquo;s equivalent is a &ldquo;wire&rdquo; or &ldquo;trace&rdquo; on the printed circuit board.</dd>
  +<dt><span class='term'> <strong>object</strong></span></dt>
  +<dd>A line, circle, pin, net, box, bus, text/attribute, or picture.</dd>
  +<dt><span class='term'> <strong>package</strong></span></dt>
  +<dd>Also known as <strong>device</strong>. The equivalent of an [electronics] device, as one may place on a printed circuit board.</dd>
  +<dt><span class='term'> <strong>page</strong></span></dt>
  +<dd>Also known as a schematic&rsquo;s <strong>sheet</strong>.</dd>
  +<dt><span class='term'> <strong>part</strong></span></dt>
  +<dd> Also know as <strong>component</strong>. The equivalent of an [electronics] device, as one may place on a printed circuit board.</dd>
  +<dt><span class='term'> <strong>project</strong></span></dt>
  +<dd>A collection of schematics, custom symbols, models, documentation, etc.</dd>
  +<dt><span class='term'> <strong>segment</strong></span></dt>
  +<dd>Part of a net. A segment has two end-points, or &ldquo;grips&rdquo;.</dd>
  +<dt><span class='term'> <strong>schematic</strong></span></dt>
  +<dd>A <strong>page</strong>/<strong>sheet</strong> with electronics symbols, text, and drawing elements (i.e., lines, circles, boxes, etc.) representing a diagram of an electrical or mechanical system.</dd>
  +<dt><span class='term'> <strong>series</strong></span></dt>
  +<dd>A collection of schematics which share a common basename (e.g., schematic_1.sch, schematic_2.sch, schematic_3.sch, etc.). The series basename ties schematics together.</dd>
  +<dt><span class='term'> <strong>slotted device</strong></span></dt>
  +<dd>Also known as a <strong>slotted package</strong>. A physical [electronics] device consisting of multiple identical components (e.g., the 7400 quad NAND device consists of 4 identical NAND gates).</dd>
  +<dt><span class='term'> <strong>source</strong></span></dt>
  +<dd>A schematic, HDL code, or model which implements, describes, or documents some aspect of the project.</dd>
  +<dt><span class='term'> <strong>symbol</strong></span></dt>
  +<dd>A collection of <strong>objects</strong>. The objects may have <strong>attributes</strong> attatched (i.e., associated) with them. There may also be <strong>attributes</strong> attached (i.e., associated) to the <strong>symbol</strong> itself (i.e., not specifically associated with an object).</dd>
  +<dt><span class='term'> <strong>sheet</strong></span></dt>
  +<dd>Also known as a schematic&rsquo;s <strong>page</strong>.</dd>
  +<dt><span class='term'> <strong>trace</strong></span></dt>
  +<dd>The equivalent of a wire on a printed circuit board.</dd>
  +<dt><span class='term'> <strong>window</strong></span></dt>
  +<dd>...</dd>
  +<dt><span class='term'> <strong>workflow</strong></span></dt>
  +<dd>The process of designing. Usually includes continuous review and re-design, until it works. In the gEDA Tools Suite design workflow, multiple applications are used. One application typically is followed by another. The flow of data collected and how this data effects the design is considered the workflow.</dd>
  +</dl>
  +<p>~~DISCUSSION~~ 
  +</p>
  +
  +</div>
  +<!-- SECTION [118780-] --></div>
   </body>
   </html>
  
  
  
  1.2.6.1   +63 -63    eda/geda/gaf/docs/wiki/001geda_installation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_installation.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_installation.html,v
  retrieving revision 1.2
  retrieving revision 1.2.6.1
  diff -u -b -r1.2 -r1.2.6.1
  --- 001geda_installation.html	21 Oct 2006 03:57:46 -0000	1.2
  +++ 001geda_installation.html	23 Feb 2007 23:32:02 -0000	1.2.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:installation.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:installation.fr?do=export_raw"; />
  -  <meta name="date" content="2006-09-19T16:28:02-0400" />
  +  <meta name="date" content="2006-12-06T15:45:38-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -27,11 +27,11 @@
   <li class="level1"><div class="li"><span class="li"><a href="#aide_a_l_installation" class="toc">Aide à l'installation</a></span></div>
   <ul class="toc">
   <li class="level2"><div class="li"><span class="li"><a href="#comment_installer_la_suite_geda" class="toc">Comment installer la Suite gEDA?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_uninstall_the_geda_suite" class="toc">How do I uninstall the gEDA Suite?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#why_would_i_want_to_uninstall_the_geda_suite" class="toc">Why would I want to uninstall the gEDA Suite?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#aaaargh_i_put_the_cd_into_my_reader_and_mounted_the_cd_but_nothing_happened" class="toc">Aaaargh! I put the CD into my reader, and mounted the CD, but nothing happened!</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#help_me_i_m_trying_to_install_using_the_cd_rom_but_the_install_wizard_says_i_have_an_error" class="toc">Help me! I'm trying to install using the CD ROM, but the install wizard says I have an error!</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#waaaa_the_installation_ran_to_completion_but_i_get_a_segfault_or_other_problem_when_i_run_gschem_or_gattrib_or_gnetlist_or" class="toc">Waaaa! The installation ran to completion, but I get a segfault (or other problem) when I run gschem (or gattrib, or gnetlist, or. . . )!</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_desinstaller_la_suite_geda" class="toc">Comment désinstaller la Suite gEDA?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#pourquoi_voudrais-je_desinstaller_la_suite_geda" class="toc">Pourquoi voudrais-je désinstaller la Suite gEDA?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#aaaaargh_j_ai_mis_un_cd_dans_le_lecteur_je_l_ai_monte_mais_rien_ne_se_produit" class="toc">Aaaaargh! J'ai mis un CD dans le lecteur, je l'ai monté mais rien ne se produit!</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#aidez-moi_je_tente_une_installation_en_utilisant_le_cd_rom_mais_le_magicien_d_installation_m_indique_une_erreur" class="toc">Aidez-moi! Je tente une installation en utilisant le CD ROM mais le magicien d'installation m'indique une erreur!</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#waaaaa_l_installation_s_est_terminee_mais_j_ai_un_segfault_ou_autre_probleme_lorsque_je_lance_gschem_ou_gattrib_ou_gnetlist_ou" class="toc">Waaaaa! L'installation s'est terminée mais j'ai un segfault (ou autre problème) lorsque je lance gschem (ou gattrib ou gnetlist, ou. . . )!</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#fedora_core_4_install_notes" class="toc">Fedora Core 4 install notes</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#fedora_core_3_install_notes" class="toc">Fedora Core 3 install notes</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#fedora_core_2_install_notes" class="toc">Fedora Core 2 install notes</a></span></div></li>
  @@ -58,202 +58,202 @@
   <div class="level2">
   
   <p>
  -The easiest way to install the gEDA Suite is to grab the gEDA Suite CD ROM and use it. The instructions are contained in the README available on the <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">downloads page</a>.  <strong><em>Note that the CD ROM installer assumes that you are running the Gnome desktop!  The same is true of the gEDA tools:  They use the GTK widget set which underlies Gnome.  If you are running KDE, you need to at least get the Gnome libraries installed on your machine before trying to run the installer.</em></strong>
  +La manière la plus facile d&rsquo;installer la Suite gEDA est de graver le CDROM et de l&rsquo;utiliser. Les instructions sont dans le README disponible sur la <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">page des téléchargements</a>.  <strong><em>Notez que l&rsquo;installateur du CD ROM suppose que vous êtes sur le bureau Gnome! La même chose est vraie pour les outils gEDA: Ils utilisent le jeu de widgets GTK qui soutend Gnome. Si vous êtes sous KDE, vous avez au moins besoin des bibliothèques Gnome d&rsquo;installées sur votre machine avant de tenter de lancer l&rsquo;installateur.</em></strong>
   </p>
   
   <p>
  -The gEDA Suite CD holds the tarballs of more than one dozen popular gEDA applications. It also incorporates a <acronym title="Graphical User Interface">GUI</acronym>-based install wizard which checks your system configuration, asks you a few questions, and then oversees the compilation and installation of the different gEDA applications. The install wizard just automates the normal â??./configure &amp;&amp; make &amp;&amp; make installâ?? process used to build GNU software from source. Therefore, it is more or less platform independent (as long as you are running Linux).
  +Le CD de la Suite gEDA contient les tarballs de plus d&rsquo;une douzaine d&rsquo;applications populaires de gEDA. Il incorpore aussi un «·magicien·» graphique qui contrôle la configuration de votre système, vous pose quelques questions et surveille la compilation et l&rsquo;installation des différentes applications gEDA. Le magicien d&rsquo;installation automatise le processus normal â??./configure &amp;&amp; make &amp;&amp; make installâ?? utilisé pour construire les logiciels GNU depuis les sources. C&rsquo;est la raison pour laquelle il est plus ou moins indépendant de la plate-forme (tant que vous faites tourner Linux).
   </p>
   
   <p>
  -In the event that the install wizard canâ??t automatically install the gEDA Suite, you can still get the source tarballs off the CD and build them manually. The instructions are available from the download web page, as well as in the INSTALL file on the CD.
  +Dans l&rsquo;éventualité où le magicien d&rsquo;installation ne peut pas installer la Suite gEDA automatiquement, vous pouvez encore extraire les tarballs de source du CD et de les construire manuellement. Les instructions sont disponibles sur la page de téléchargement, de même que le fichier INSTALL sur le CD.
   </p>
   
   <p>
  -Also, people have created RedHat RPMs, Debian Debs, and Mac OSX Fink packages if you prefer to install that way. These binary distributions are available on the <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">downloads page</a>. Finally, source tarballs for all programs are also available on the <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">downloads page</a>.
  +De la même manière, des personnes ont créé des RPM RedHat, des Debs Debian et des paquets Mac OSX Fink si vous préférez les installer de cette manière. Ces distributions binaires sont disponibles sur la <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">page de téléchargement</a>. Finalement, les tarballs de sources pour tous les programmes sont aussi disponiblessur la <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">page de téléchargements</a>.
   </p>
   
   <p>
  -By the way, itâ??s always a good idea to install gEDA into its own directory. That way, when you want to upgrade the package you just need to delete the directory and re-install. (This advice is true for any large suite of applications in unix.) More on this below.
  +Au fait, c&rsquo;est toujours une bonne idée d&rsquo;installer gEDA dans son propre répertoire. De cette manière, lorsque vous voulez mettre à jour le paquet, vous avez juste besoin d&rsquo;effacer le répertoire et ré-installer. (Ce conseil est vrai pour toute grande suite d&rsquo;applications d&rsquo;unix.) Vous en avez plus ci-dessous.
   </p>
   
   <p>
  -Finally, if the instructions here arenâ??t enough, David Hart has placed a useful and detailed <a href="http://www.offramp.com/Lab-Install/doku.php?id=fc4#create_user_geda_account_and_install_geda_tool_suite"; class="urlextern" title="http://www.offramp.com/Lab-Install/doku.php?id=fc4#create_user_geda_account_and_install_geda_tool_suite";  rel="nofollow">guide for configuring and installing gEDA</a> (for Fedora Core 4, but probably helpful with other distros too), as well as a <a href="http://www.offramp.com/Lab-Install/doku.php?id=fc4#geda_tutorial"; class="urlextern" title="http://www.offramp.com/Lab-Install/doku.php?id=fc4#geda_tutorial";  rel="nofollow">gEDA Tutorial</a> for new users.
  +Finalement, si ces instructions ne sont pas suffisantes, David Hart a placé un <a href="http://www.offramp.com/Lab-Install/doku.php?id=fc4#create_user_geda_account_and_install_geda_tool_suite"; class="urlextern" title="http://www.offramp.com/Lab-Install/doku.php?id=fc4#create_user_geda_account_and_install_geda_tool_suite";  rel="nofollow">guide for configuring and installing gEDA</a> utile et détaillé (pour Fedora Core 4  mais qui aidera probablement aussi avec les autres distros), de même qu&rsquo;un <a href="http://www.offramp.com/Lab-Install/doku.php?id=fc4#geda_tutorial"; class="urlextern" title="http://www.offramp.com/Lab-Install/doku.php?id=fc4#geda_tutorial";  rel="nofollow">tutoriel gEDA</a> pour les nouveaux utilisateurs.
   </p>
   
   </div>
  -<!-- SECTION [152-2510] -->
  -<h2><a name="how_do_i_uninstall_the_geda_suite" id="how_do_i_uninstall_the_geda_suite">How do I uninstall the gEDA Suite?</a></h2>
  +<!-- SECTION [152-2869] -->
  +<h2><a name="comment_desinstaller_la_suite_geda" id="comment_desinstaller_la_suite_geda">Comment désinstaller la Suite gEDA?</a></h2>
   <div class="level2">
   
   <p>
  -As of this writing, no advanced method to uninstall the gEDA Suite exists. Unlike a certain commercial operating system, Linux (and unix) were not designed with the goal of easy package management in mind, and we all suffer with this legacy.
  +Au moment de l&rsquo;écriture de cet article, il n&rsquo;existe pas de méthode pour désinstaller la Suite gEDA. Contrairement à certain systèmes d&rsquo;exploitation commerciaux, Linux (et unix) n&rsquo;ont pas été conçus dans l&rsquo;idée de faciliter la gestion des paquets facilement et nous souffrons de cet héritage.
   </p>
   
   <p>
  -Therefore, we recommend that you install the gEDA Suite into its own special directory. For example, the CD Installer will place the Suiteâ??s executables into <strong><code>${HOME}/geda-install</code></strong> by default. Then, if you need to uninistall the gEDA Suite, you can just delete the entire directory.
  +C&rsquo;est la raison pour laquelle nous recommandons d&rsquo;installer la Suite gEDA dans son propre répertoire. Par exemple, l&rsquo;installateur CD placera les exécutables de la Suite dans <strong><code>${HOME}/geda-install</code></strong> par défaut. Alors, si vous avez besoin de désinstaller la Suite gEDA, vous pouvez juste effacer le répertoire complet.
   </p>
   
   <p>
  -<span class="hilited">(More advanced methods to install/uninstall packages on Linux/unix also exist. Could somebody please write about them here?)</span>
  +<span class="hilited">(D&rsquo;autres méthodes avancées pour installer/désinstaller les paquets sur Linux/unix existe aussi. Quelqu&rsquo;un pourrait-il écrire quelque chose sur le sujet?)</span>
   </p>
   
   </div>
  -<!-- SECTION [2511-3222] -->
  -<h2><a name="why_would_i_want_to_uninstall_the_geda_suite" id="why_would_i_want_to_uninstall_the_geda_suite">Why would I want to uninstall the gEDA Suite?</a></h2>
  +<!-- SECTION [2870-3713] -->
  +<h2><a name="pourquoi_voudrais-je_desinstaller_la_suite_geda" id="pourquoi_voudrais-je_desinstaller_la_suite_geda">Pourquoi voudrais-je désinstaller la Suite gEDA?</a></h2>
   <div class="level2">
   
   <p>
  -Different applications belonging to gEDA/gaf all use the same shared library, libgeda.so. Things in the library change from one gEDA release to another. Therefore, applications are prevented from linking to libgeda.so if their release codes donâ??t match.
  +Différentes applications appartenant à gEDA/gaf utilisent la même bibliothèque partagée, libgeda.so. Les éléments dans la bibliothèque changent d&rsquo;une version gEDA à l&rsquo;autre. C&rsquo;est la raison pour laquelle les applications ne peuvent pas être liées à libgeda.so si leur code de version est différent.
   </p>
   
   <p>
  -If you need to upgrade one application in gEDA/gaf, you will need to first uninstall your old version, and then install an entirely new set of applications, including the library and all components of gEDA/gaf.
  +Si vous avez besoin de mettre à jour une application de gEDA/gaf, vous devrez d&rsquo;abord désinstaller votre ancienne version puis intaller un tout nouveau jeu d&rsquo;applications, incluant la bibliothèque et tous les composants de gEDA/gaf.
   </p>
   
   <p>
  -Alternately, you can install the new gEDA/gaf into a new directory, and then edit your <strong><code>${PATH}</code></strong>, <strong><code>${PKG_CONFIG_PATH}</code></strong>, and <strong><code>${LD_LIBRARY_PATH}</code></strong> environment variables to remove the old directory, and point to the new one. Make sure you do this <strong>before</strong> you try installing the new gEDA/gaf.
  +Alternativement, vous pouvez installer le nouveau gEDA/gaf dans un nouveau répertoire et éditer alors vos variables d&rsquo;environnement <strong><code>${PATH}</code></strong>, <strong><code>${PKG_CONFIG_PATH}</code></strong>  puis <strong><code>${LD_LIBRARY_PATH}</code></strong> pour enlever l&rsquo;ancien répertoire et pointer vers le nouveau. Assurez-vous de le faire <strong>avant</strong> de tenter une nouvelle installation de gEDA/gaf.
   </p>
   
   </div>
  -<!-- SECTION [3223-4060] -->
  -<h2><a name="aaaargh_i_put_the_cd_into_my_reader_and_mounted_the_cd_but_nothing_happened" id="aaaargh_i_put_the_cd_into_my_reader_and_mounted_the_cd_but_nothing_happened">Aaaargh! I put the CD into my reader, and mounted the CD, but nothing happened!</a></h2>
  +<!-- SECTION [3714-4683] -->
  +<h2><a name="aaaaargh_j_ai_mis_un_cd_dans_le_lecteur_je_l_ai_monte_mais_rien_ne_se_produit" id="aaaaargh_j_ai_mis_un_cd_dans_le_lecteur_je_l_ai_monte_mais_rien_ne_se_produit">Aaaaargh! J'ai mis un CD dans le lecteur, je l'ai monté mais rien ne se produit!</a></h2>
   <div class="level2">
   
   <p>
  - Amazingly enough, some people simply copy the installer .iso file using â??cpâ?? onto a blank CD, and then try to use it. This wonâ??t work. You need to â??burnâ?? a CD with the .iso in a way which writes the whole filesystem directly onto the CDROM. The linux/unix command to do this is usually â??cdrecordâ??, or perhaps a <acronym title="Graphical User Interface">GUI</acronym> derivative of this utility. Donâ??t just â??cpâ?? the .iso file onto a blank CD!
  + D&rsquo;une manière surprenante, il y a encore des personnes qui copient simplement le fichier installateur .iso en utilisant «·cp·» vers un CD vierge et tentent ensuite de l&rsquo;utiliser tel quel. Cela ne marche pas. Vous avez besoin de «·graver·» un CD avec un .iso d&rsquo;une manière qui écrit le système de fichier complet directement sur le CDROM. La commande linux/unix pour ce faire est habituellement «·cdrecord·» ou, peut être, une interface graphique dérivée de cet utilitaire. Il ne suffit pas de juste «·cp·» le fichier .iso sur le CD vierge!
   </p>
   
   <p>
  -Many modern Linux distributions will not automatically run executables on installed media. This is a security precaution. To overcome this, you need to mount the CD in a way which grants permission for executables to run. For example, in Gentoo and Debian you should mount the CD ROM this way: 
  +De nombreuses distributions Linux récentes ne lanceront pas automatiquement les exécutables sur un média installé. C&rsquo;est une précaution de sécurité. Pour passer outre, vous avez besoin de monter un CD qui donne les permissions de lancer un exécutable. Par exemple, avec Gentoo et Debian, vous devez  monter le CD ROM de cette manière: 
   </p>
   <pre class="code">mount -o exec -t iso9660 /dev/cdrom /mnt/cdrom</pre>
   
   <p>
  -The detailed flag or mount point relevant to your distribution might be a little different; read the manual for mount (â??man mountâ??) if you have any questions about how to do this.
  +Le drapeau précis ou le point de montage adéquat de votre distribution peut être un peu différent·; lisez le manuel pour mount («·man mount·») si vous avez des questions sur la manière de le faire.
   </p>
   
   <p>
  -After you have mounted the CD with execute permission, you can then run the installer from the command line like this: 
  +Après avoir monté le CD avec des permissions d&rsquo;exécution, vous pouvez alors lancer l&rsquo;installateur depuis la ligne de commande comme ceci·: 
   </p>
   <pre class="code">/mnt/cdrom/installer</pre>
   
   <p>
  -At this point, the install wizardâ??s <acronym title="Graphical User Interface">GUI</acronym> should pop up, and you can get to installing. If you canâ??t install, please try doing an â??lsâ?? of the CD to see if it is readable. That is, do this: 
  +� ce point, l&rsquo;interface graphique du magicien d&rsquo;installation devrait apparaître et vous pouvez entrer dans l&rsquo;installation. Si vous ne pouvez pas installer, veuillez tenter un «·ls·» sur le CD pour vérifier s&rsquo;il est lisible. C&rsquo;est à dire en faitant: 
   </p>
   <pre class="code">ls -l /mnt/cdrom/</pre>
   
   <p>
  -And verify that you get a directory listing instead of an error message (or nothing at all).
  +Et assurez-vous d&rsquo;avoir une liste de répertoires plutôt d&rsquo;un message d&rsquo;erreur (ou rien du tout).
   </p>
   
   </div>
  -<!-- SECTION [4061-5579] -->
  -<h2><a name="help_me_i_m_trying_to_install_using_the_cd_rom_but_the_install_wizard_says_i_have_an_error" id="help_me_i_m_trying_to_install_using_the_cd_rom_but_the_install_wizard_says_i_have_an_error">Help me! I'm trying to install using the CD ROM, but the install wizard says I have an error!</a></h2>
  +<!-- SECTION [4684-6523] -->
  +<h2><a name="aidez-moi_je_tente_une_installation_en_utilisant_le_cd_rom_mais_le_magicien_d_installation_m_indique_une_erreur" id="aidez-moi_je_tente_une_installation_en_utilisant_le_cd_rom_mais_le_magicien_d_installation_m_indique_une_erreur">Aidez-moi! Je tente une installation en utilisant le CD ROM mais le magicien d'installation m'indique une erreur!</a></h2>
   <div class="level2">
   
   <p>
  - First off, please keep in mind that the CD ROMâ??s installer only works on Linux. The CD ROM installer will not work on Sun, BSD, or Mac OSX, and it certainly wonâ??t work on Windows.
  + D&rsquo;abord, gardez en mémoire que l&rsquo;installateur du CD ROM ne fonctionne qu&rsquo;avec Linux. L&rsquo;installateur du CD ROM ne fonctionnera pas sur Sun, BSD ou Mac OSX et il ne fonctionnera certainement pas avec Windows.
   </p>
   
   <p>
  -As a general rule, if you are having problems installing gEDA from the CD, here are the things you can try:
  +Comme règle général, si vous avez des problèmes en installant gEDA depuis le CD, vous avez ici des éléments que vous pouvez tenter:
   </p>
   <ol>
  -<li class="level1"><div class="li"> If you run the installer with the <strong><code>â??log</code></strong> flag set, it will place a file called Install.log into your local directory (where you are running the installer). This file is a log of all commands issued and all responses generated during the install process. It allows you to save the data displayed on the log window displayed during the install process. Running the installer with <strong><code>â??log</code></strong> set is a good idea if you are experiencing problems; you can send your Install.log file to an expert who might be able to diagnose your problem. More on this later.</div>
  +<li class="level1"><div class="li"> Si vous lancez l&rsquo;installateur avec le drapeau <strong><code>â??log</code></strong>, il placera un fichier appelé Install.log dans votre répertoire local (depuis où vous avez lancé votre installateur). Ce fichier est un journal de toutes les commandes émises et toutes les réponses générées pendant le processus d&rsquo;installation. Il vous permet de sauvegarder les données affichées dans la fenêtre journal lors de l&rsquo;installation. Lancer l&rsquo;installateur avec <strong><code>â??log</code></strong> est une bonne idée si vous avez des problèmes·; vous pouvez envoyer votre fichier Install.log à un expert qui pourra diagnostiquer votre problème. Vous en saurez plus après.</div>
   </li>
  -<li class="level1"><div class="li"> After experiencing a problem, the first thing you should do is look through the gEDA Wiki. Itâ??s quite likely that somebody has already experienced your problem, reported it, and a work around has been found and posted. Different Linux distributions have displayed different problems in the past. Depending upon your distribution, consult the help sections below.</div>
  +<li class="level1"><div class="li"> Après avoir eu un problème, la première chose à faire est de regarder le wiki de gEDA. Il est plus que probable que quelqu&rsquo;un a déjà eu votre problème et l&rsquo;a rapporté et qu&rsquo;une solution a été trouvée et postée. Des distributions Linux différentes ont eu des problèmes différents dans le passé. En focntion de votre distribution, consultez les sections d&rsquo;aide ci-dessous.</div>
   </li>
  -<li class="level1"><div class="li"> If no mention of your specific problem has been posted on the Wiki, try a Google search. GEDA tips and tricks show up in many different places on the web, and Google can find them for you. Also, the geda-user list is continually indexed by Google. Since people frequently post bugs and bug workarounds there, Google will help you find these reports.</div>
  +<li class="level1"><div class="li"> Si aucune mention de votre problème spécifique n&rsquo;a été posté sur le Wiki, tentez une recherche sur Google. LEs trucs et astuces de GEDA sont dans différents endroits sur la toile et Google peut les trouver pour vous. De même, la liste des geda-user est indexée continuellement par Google. Comme les gens postent fréquement des bogues et des correctifs, Google vous aidera à trouver ces rapports.</div>
   </li>
  -<li class="level1"><div class="li"> Next, try posting a question on the geda-user e-mail list. Note that you must first subscribe to the geda-user e-mail list before posting any e-mail to the list. Others may have already developed a work-around for your problem. Some of the experts hang out on that list, and might offer a few helpful suggestions.</div>
  +<li class="level1"><div class="li"> Ensuite, tentez de poster une question sur la liste des geda-user. Notez que vous devez d&rsquo;abord vous enregistrer. D&rsquo;autre peuvent avoir déjà développé une solution à votre problème. Quelques uns des experts hantent cette liste et peut offrir quelques sugestions d&rsquo;aide.</div>
   </li>
   </ol>
   
   </div>
  -<!-- SECTION [5580-7591] -->
  -<h2><a name="waaaa_the_installation_ran_to_completion_but_i_get_a_segfault_or_other_problem_when_i_run_gschem_or_gattrib_or_gnetlist_or" id="waaaa_the_installation_ran_to_completion_but_i_get_a_segfault_or_other_problem_when_i_run_gschem_or_gattrib_or_gnetlist_or">Waaaa! The installation ran to completion, but I get a segfault (or other problem) when I run gschem (or gattrib, or gnetlist, or. . . )!</a></h2>
  +<!-- SECTION [6524-8722] -->
  +<h2><a name="waaaaa_l_installation_s_est_terminee_mais_j_ai_un_segfault_ou_autre_probleme_lorsque_je_lance_gschem_ou_gattrib_ou_gnetlist_ou" id="waaaaa_l_installation_s_est_terminee_mais_j_ai_un_segfault_ou_autre_probleme_lorsque_je_lance_gschem_ou_gattrib_ou_gnetlist_ou">Waaaaa! L'installation s'est terminée mais j'ai un segfault (ou autre problème) lorsque je lance gschem (ou gattrib ou gnetlist, ou. . . )!</a></h2>
   <div class="level2">
   
   <p>
  -After you install the gEDA Suite off the CD ROM, make sure you do the following:
  +Après que vous ayiez installé la Suite gEDA depuis le CD ROM, assurez-vous d&rsquo;avoir fait ce qui suit:
   </p>
   <ol>
  -<li class="level1"><div class="li"> Set your <strong><code>${PATH}</code></strong> to point to the location where your new gEDA executables live (for example, <strong><code>/home/your-name/geda-install/bin</code></strong>). Make sure that you remove pointers to old gEDA editions, if they exist. You should not only set the <strong><code>${PATH}</code></strong> in your current shell, but you should also set it in your shell config scripts (i.e. .bashrc or .cshrc)</div>
  +<li class="level1"><div class="li"> Mettez votre <strong><code>${PATH}</code></strong> pour qu&rsquo;il pointe vers l&rsquo;endroit où vos nouveau exécutables gEDA sont placés (par exemple, <strong><code>/home/your-name/geda-install/bin</code></strong>). Assurez-vous que vous enlevez les pointeurs vers la anciennes versions de gEDA, s&rsquo;ils existent. Vous ne devez pas seulement mettre le <strong><code>${PATH}</code></strong> dans votre shell courant mais vous devriez alors mettre à jour vos scripts de config (i.e. .bashrc ou .cshrc)</div>
   </li>
  -<li class="level1"><div class="li"> Type â??rehashâ?? to update your executable search path.</div>
  +<li class="level1"><div class="li"> Tapez «·rehash·» pour mettre à jour votre chemin de recherche des exécutables.</div>
   </li>
  -<li class="level1"><div class="li"> Set your <strong><code>${LD_LIBRARY_PATH}</code></strong> to point to the location where your new gEDA executables live (for example, <strong><code>/home/your-name/geda-install/lib</code></strong>). Make sure to remove pointers to old gEDA editions, if they exist. You should not only set the <strong><code>${LD_LIBRARY_PATH}</code></strong> in your current shell, but you should also set it in your shell config scripts (i.e. .bashrc or .cshrc)</div>
  +<li class="level1"><div class="li"> Changez votre <strong><code>${LD_LIBRARY_PATH}</code></strong> pour qu&rsquo;il pointe sur l&rsquo;endroitoù sont vos nouveaux exécutables gEDA (par exemple, <strong><code>/home/your-name/geda-install/lib</code></strong>). Assurez-vous d&rsquo;enlever les pointeurs des anciennes versions de gEDA, si elles existent. Vous ne devez pas seulement mettre le <strong><code>${LD_LIBRARY_PATH}</code></strong> dans votre shell courant mais vous devriez alors mettre à jour vos scripts de config (i.e. .bashrc ou .cshrc)</div>
   </li>
  -<li class="level1"><div class="li"> Run â??su -c ldconfigâ?? to tell the kernal where to find your new libgeda.so.</div>
  +<li class="level1"><div class="li"> LAncez «·su -c ldconfig·» pour indiquer au noyau où trouver votre nouvelle libgeda.so.</div>
   </li>
   </ol>
   
   </div>
  -<!-- SECTION [7592-8719] -->
  +<!-- SECTION [8723-10033] -->
   <h2><a name="fedora_core_4_install_notes" id="fedora_core_4_install_notes">Fedora Core 4 install notes</a></h2>
   <div class="level2">
   
   <p>
  -<a href="http://geda.seul.org/wiki/geda:fc4"; class="wikilink1" title="geda:fc4">FC4 notes available here</a>
  +<a href="geda_fc4.html" class="wikilink1" title="geda:fc4">FC4 notes available here</a>
   </p>
   
   </div>
  -<!-- SECTION [8720-8798] -->
  +<!-- SECTION [10034-10112] -->
   <h2><a name="fedora_core_3_install_notes" id="fedora_core_3_install_notes">Fedora Core 3 install notes</a></h2>
   <div class="level2">
   
   <p>
  -<a href="http://geda.seul.org/wiki/geda:fc3"; class="wikilink1" title="geda:fc3">FC3 notes available here</a>
  +<a href="geda_fc3.html" class="wikilink1" title="geda:fc3">FC3 notes available here</a>
   </p>
   
   </div>
  -<!-- SECTION [8799-8877] -->
  +<!-- SECTION [10113-10191] -->
   <h2><a name="fedora_core_2_install_notes" id="fedora_core_2_install_notes">Fedora Core 2 install notes</a></h2>
   <div class="level2">
   
   <p>
  -<a href="http://geda.seul.org/wiki/geda:fc2"; class="wikilink1" title="geda:fc2">FC2 notes available here</a>
  +<a href="geda_fc2.html" class="wikilink1" title="geda:fc2">FC2 notes available here</a>
   </p>
   
   </div>
  -<!-- SECTION [8878-8956] -->
  +<!-- SECTION [10192-10270] -->
   <h2><a name="fedora_core_1_install_notes" id="fedora_core_1_install_notes">Fedora Core 1 install notes</a></h2>
   <div class="level2">
   
   <p>
  -<a href="http://geda.seul.org/wiki/geda:fc1"; class="wikilink1" title="geda:fc1">FC1 notes available here</a>
  +<a href="geda_fc1.html" class="wikilink1" title="geda:fc1">FC1 notes available here</a>
   </p>
   
   </div>
  -<!-- SECTION [8957-9035] -->
  +<!-- SECTION [10271-10349] -->
   <h2><a name="suse_9.3_install_notes" id="suse_9.3_install_notes">Suse 9.3 install notes</a></h2>
   <div class="level2">
   
   <p>
  -<a href="http://geda.seul.org/wiki/geda:suse_9.3"; class="wikilink1" title="geda:suse_9.3">SuSE 9.3 notes available here</a>
  +<a href="geda_suse_9.html" class="wikilink1" title="geda:suse_9.3">SuSE 9.3 notes available here</a>
   </p>
   
   </div>
  -<!-- SECTION [9036-9119] -->
  +<!-- SECTION [10350-10433] -->
   <h2><a name="suse_10.0_install_notes" id="suse_10.0_install_notes">Suse 10.0 install notes</a></h2>
   <div class="level2">
   
   <p>
  -<a href="http://geda.seul.org/wiki/geda:suse_10.0"; class="wikilink1" title="geda:suse_10.0">SuSE 10.0 notes available here</a>
  +<a href="geda_suse_10.html" class="wikilink1" title="geda:suse_10.0">SuSE 10.0 notes available here</a>
   </p>
   
   </div>
  -<!-- SECTION [9120-9206] -->
  +<!-- SECTION [10434-10520] -->
   <h2><a name="suse_10.1_install_notes" id="suse_10.1_install_notes">Suse 10.1 install notes</a></h2>
   <div class="level2">
   
   <p>
  -<a href="http://geda.seul.org/wiki/geda:suse_10.1"; class="wikilink1" title="geda:suse_10.1">SuSE 10.1 notes available here</a>
  +<a href="001geda_suse_10.html" class="wikilink1" title="geda:suse_10.1">SuSE 10.1 notes available here</a>
   </p>
   
   </div>
  -<!-- SECTION [9207-9293] -->
  +<!-- SECTION [10521-10607] -->
   <h2><a name="notes_d_installation_debian" id="notes_d_installation_debian">Notes d'installation Debian</a></h2>
   <div class="level2">
   
  @@ -262,15 +262,15 @@
   </p>
   
   </div>
  -<!-- SECTION [9294-9406] -->
  +<!-- SECTION [10608-10720] -->
   <h2><a name="windows_install_notes" id="windows_install_notes">Windows install notes</a></h2>
   <div class="level2">
   
   <p>
  -<a href="http://geda.seul.org/wiki/geda:cygwin"; class="wikilink1" title="geda:cygwin">Cygwin notes available here</a> 
  +<a href="geda_cygwin.html" class="wikilink1" title="geda:cygwin">Cygwin notes available here</a> 
   </p>
   
   </div>
  -<!-- SECTION [9407-] --></div>
  +<!-- SECTION [10721-] --></div>
   </body>
   </html>
  
  
  
  1.1.6.1   +437 -1    eda/geda/gaf/docs/wiki/001geda_pcb-quick_reference.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_pcb-quick_reference.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_pcb-quick_reference.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- 001geda_pcb-quick_reference.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_pcb-quick_reference.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,12 +12,448 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:pcb-quick_reference.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:pcb-quick_reference.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-12-05T17:45:09-0500" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +<div class="toc">
  +<div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  +<div id="toc__inside">
  +
  +<ul class="toc">
  +<li class="level1"><div class="li"><span class="li"><a href="#pcbreference_rapide" class="toc">PCB: Référence Rapide</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#disposition_des_touches" class="toc">Disposition des Touches</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#table_des_touches_pcb" class="toc">Table des Touches PCB</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#touches_de_visualisation" class="toc">Touches de Visualisation</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#reference_d_empreintes" class="toc">Référence d'Empreintes</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#syntaxe_generale" class="toc">Syntaxe Générale</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#elements" class="toc">�léments</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#pastilles" class="toc">Pastilles</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#broches" class="toc">Broches</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#exemples" class="toc">Exemples</a></span></div></li></ul>
  +</li></ul>
   </div>
  +</div>
  +
  +<h1><a name="pcbreference_rapide" id="pcbreference_rapide">PCB: Référence Rapide</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [1-39] -->
  +<h2><a name="disposition_des_touches" id="disposition_des_touches">Disposition des Touches</a></h2>
  +<div class="level2">
  +
  +</div>
  +<!-- SECTION [40-76] -->
  +<h3><a name="table_des_touches_pcb" id="table_des_touches_pcb">Table des Touches PCB</a></h3>
  +<div class="level3">
  +<table class="inline">
  +	<tr>
  +		<th class="centeralign">  TOUCHE	</th><th class="leftalign"> MNEMONIQUE	</th><th class="centeralign">  DESCRIPTION  </th>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  esc		</td><td class="leftalign"> panner	</td><td> enter panning mode </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  insert	</td><td class="leftalign"> insert point	</td><td> enter insert point mode. Click and drag on track to insert a point </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  space	</td><td class="leftalign"> select	</td><td class="leftalign"> entrez en mode select  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  tab		</td><td class="leftalign"> flip view	</td><td class="leftalign"> view: flip board  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-del	</td><td class="leftalign"> del-cu	</td><td class="leftalign"> deletes electrically connected copper (including power and signal planes)  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  \		</td><td class="leftalign"> thin-draw	</td><td class="leftalign"> toggles thin draw mode  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-alt-a  </td><td class="leftalign"> unselect	</td><td class="leftalign"> clear selection  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  b 		</td><td class="leftalign"> to other side	</td><td class="leftalign"> flip object to the other side of the board  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-b  	</td><td class="leftalign"> flip view	</td><td class="leftalign"> view: flip board  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  c  		</td><td class="leftalign"> center	</td><td class="leftalign"> view: center display at cursor position  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  ctrl-c  	</td><td> copy to buffer</td><td class="leftalign"> copie la sélection vers le tampon et désélectionne  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  d		</td><td class="leftalign"> display name	</td><td class="leftalign"> affiche le nom de la broche ou pastille  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-d  	</td><td class="leftalign"> pinout dialog	</td><td class="leftalign"> open pinout window for element under mouse cursor  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  e		</td><td> eliminate rats</td><td class="leftalign"> efface tous les rats  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-e	</td><td class="leftalign"> eliminate some rats	</td><td class="leftalign"> efface tous les rats sélectionnés  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  f  		</td><td class="leftalign"> find		</td><td class="leftalign"> highlight connections to object under mouse cursor  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-f  	</td><td class="leftalign"> un-find	</td><td class="leftalign"> un-highlight found connections  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  g  		</td><td class="leftalign"> increase grid	</td><td class="leftalign"> increment grid by configured grid increment  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-g  	</td><td class="leftalign"> decrease grid	</td><td class="leftalign"> decrement grid by configured grid increment  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  h  		</td><td class="leftalign"> hide		</td><td class="leftalign"> toggle the visiblity of the refdes or value attached to the current component  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  ctrl-h  	</td><td class="leftalign"> holeplate	</td><td class="leftalign"> toggle plating of a via. Used to produce non metalized mounting holes  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  k  		</td><td class="leftalign"> klearance	</td><td class="leftalign"> increment clearance (soldermask to copper edge)  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-k  	</td><td class="leftalign"> klear (-)  	</td><td class="leftalign"> decrement clearance (soldermask to copper edge (NOTE: can go negative!))  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  l  		</td><td class="leftalign"> increase line	</td><td class="leftalign"> increment width of the line tool, adds to the settings of the current line style  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-l  	</td><td class="leftalign"> decrease line	</td><td> reduce the current linewidth </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  m  		</td><td class="leftalign"> move to layer	</td><td class="leftalign"> move the object under the cursor to the current layer  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-m  	</td><td class="leftalign"> move selection to layer	</td><td class="leftalign"> move selected objects to the current working layer (see <a href="http://geda.seul.org/wiki/geda:pcb_tips#how_do_i_move_one_set_of_layer_tracks_to_another_layer"; class="wikilink1" title="geda:pcb_tips">pcb tip</a>)  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  ctrl-m	</td><td class="leftalign"> move origin	</td><td> set the origin to the current position of the mouse pointer </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  n		</td><td class="leftalign"> name		</td><td> show object refdes / pin number at mouse pointer </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-n	</td><td class="leftalign"> next		</td><td> Select the shortest unselected rat on the board </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  o		</td><td class="leftalign"> optimize rats	</td><td> optimize and redraw all rats </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-o	</td><td class="leftalign"> optimize some rats	</td><td> optimize and redraw selected nets only </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-p	</td><td class="leftalign"> polygon close	</td><td class="leftalign"> connect the first point of a polygon with the last  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  q		</td><td class="leftalign"> square toggle	</td><td class="leftalign"> toggle square/round corners on pads  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  ctrl-r	</td><td class="leftalign"> report	</td><td class="leftalign"> show object report  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  s		</td><td class="leftalign"> size		</td><td class="leftalign"> augmente la taille  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-s	</td><td class="leftalign"> size (-)	</td><td class="leftalign"> diminue la taille  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  alt-s	</td><td class="leftalign"> sizehole	</td><td class="leftalign"> augmente la taille du trou  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  alt-shift-s	</td><td class="leftalign"> sizehole (-)	</td><td class="leftalign"> diminue la taille du trou  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  ctrl-s	</td><td class="leftalign"> sizehole	</td><td class="leftalign"> augmente la taille du trou  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  ctrl-shift-s	</td><td class="leftalign"> sizehole (-)	</td><td class="leftalign"> diminue la taille du trou  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  v		</td><td class="leftalign"> view extents	</td><td class="leftalign"> vue globale de la zone de travail  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  z		</td><td class="leftalign"> zoom		</td><td class="leftalign"> voir: agrandir  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-z	</td><td class="leftalign"> un-zoom	</td><td class="leftalign"> voir: réduire  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F1		</td><td class="leftalign"> via		</td><td> entrer l&rsquo;outil de via </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F2		</td><td class="leftalign"> line		</td><td class="leftalign"> entrer l&rsquo;outil de ligne  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F3		</td><td class="leftalign"> arc		</td><td> entrer l&rsquo;outil d&rsquo;arc </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F4		</td><td class="leftalign"> text		</td><td> entrer l&rsquo;outil de texte </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F5		</td><td class="leftalign"> rectangle	</td><td class="leftalign"> entrer l&rsquo;outil de rectangle  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F6		</td><td class="leftalign"> polygon	</td><td class="leftalign"> entrer l&rsquo;outil de polygone  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F7		</td><td class="leftalign"> buffer	</td><td> entrer l&rsquo;outil de mode tampon </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F8		</td><td class="leftalign"> delete	</td><td class="leftalign"> entrer l&rsquo;outil de mode supression  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F9		</td><td class="leftalign"> rotate	</td><td class="leftalign"> entrer l&rsquo;outil de mode de rotation  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F10		</td><td class="leftalign"> file menu	</td><td class="leftalign"> menu fichier  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F11		</td><td class="leftalign"> select	</td><td class="leftalign"> entrer dans le mode sélection (comme [space])  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  F12		</td><td class="leftalign"> lock		</td><td> entrer dans le mode lock </td>
  +	</tr>
  +</table>
  +<br />
  +
  +</div>
  +<!-- SECTION [77-3777] -->
  +<h2><a name="touches_de_visualisation" id="touches_de_visualisation">Touches de Visualisation</a></h2>
  +<div class="level2">
  +<table class="inline">
  +	<tr>
  +		<th class="centeralign">  TOUCHE  </th><th class="centeralign">  MNEMONIC  </th><th class="centeralign">  DESCRIPTION  </th>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  esc  </td><td class="centeralign">  panner  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  v  </td><td class="centeralign">  view extents  </td><td>vue globale de la zone de travail</td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  c  </td><td class="centeralign">  center  </td><td>centre la vue sur le curseur</td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  z  </td><td class="centeralign">  zoom  </td><td>agrandissement</td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-z  </td><td class="centeralign">  un-zoom  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  \  </td><td class="centeralign">  thin-draw on/off  </td><td>toggles thin draw mode</td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  tab  </td><td class="centeralign">  flip view  </td><td>retournement carte</td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-b  </td><td class="centeralign">  flip view  </td><td>retournement carte</td>
  +	</tr>
  +</table>
  +<br />
  +
  +</div>
  +<!-- SECTION [3778-4194] -->
  +<h1><a name="reference_d_empreintes" id="reference_d_empreintes">Référence d'Empreintes</a></h1>
  +<div class="level1">
  +
  +<p>
  + Le document maître pour la création d&rsquo;empreintes est le <a href="http://pcb.sourceforge.net/index.html#dir"; class="urlextern" title="http://pcb.sourceforge.net/index.html#dir";  rel="nofollow">PCB Manual</a>. Il y a aussi le <a href="http://www.brorson.com/gEDA/land_patterns_20050129.pdf"; class="urlextern" title="http://www.brorson.com/gEDA/land_patterns_20050129.pdf";  rel="nofollow">Footprint Creation for the Open-Source Layout Program PCB</a> de Stuart Brorson.
  +</p>
  +
  +</div>
  +<!-- SECTION [4195-4503] -->
  +<h2><a name="syntaxe_generale" id="syntaxe_generale">Syntaxe Générale</a></h2>
  +<div class="level2">
  +
  +<p>
  + Un fichier d&rsquo;empreinte pcb peut contenir une des commandes suivantes:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <strong><code>Element [element_flags, description, pcb-name, value, mark_x, mark_y, text_x, text_y, text_direction, text_scale, text_flags]</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>Pad [x1 y1 x2 y2 thickness clearance mask name pad_number flags]</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>Pin [x y thickness clearance mask drillholedia name number flags]</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>ElementArc [x y r1 r2 startangle sweepangle thickness]</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>ElementLine [x1 y1 x2 y2 thickness] â??&gt; thickness != 1000 = 10 mils almost for all footprints</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> Les lignes de commentaires débutent avec le signe â??<strong><code>#</code></strong>â??</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [4504-5144] -->
  +<h2><a name="elements" id="elements">�léments</a></h2>
  +<div class="level2">
  +
  +<p>
  +<strong><code>Element [element_flags, description, pcb-name, value, mark_x, mark_y, text_x, text_y, text_direction, text_scale, text_flags]</code></strong> 
  +</p>
  +<table class="inline">
  +	<tr>
  +		<th class="centeralign">  item  </th><th class="centeralign">  allowed value  </th><th class="centeralign">  explanation  </th><th class="centeralign">  comment  </th>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  element_flags  </td><td class="centeralign">  unsigned hex value  </td><td class="rightalign">  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  description  </td><td class="centeralign">  string  </td><td class="centeralign">  text descriptif de l&rsquo;empreinte  </td><td class="leftalign">écrit par l&rsquo;auteur de l&rsquo;empreinte  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  pcb name  </td><td class="centeralign">  string  </td><td class="centeralign">  refdes utilisé pour ce pcb particulier  </td><td class="leftalign">xxx  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  value  </td><td class="centeralign">  string  </td><td class="centeralign">  valeur du composant sur ce pcb particulier  </td><td class="leftalign">xxx  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  mark_x  </td><td class="centeralign">  1/100th mils  </td><td class="rightalign">  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  mark_y  </td><td class="centeralign">  1/100th mils  </td><td class="rightalign">  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  text_x  </td><td class="centeralign">  1/100th mils  </td><td class="rightalign">  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  text_y  </td><td class="centeralign">  1/100th mils  </td><td class="rightalign">  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  text direction  </td><td class="centeralign">  decimal integer  </td><td class="centeralign">  0=horiz; 1=ccw90; 2=180; 3=cw90  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  text_scale  </td><td class="centeralign">  decimal integer  </td><td class="rightalign">  </td><td class="leftalign">usu. set 100  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  text_flags  </td><td class="centeralign">  unsigned hex  </td><td class="rightalign">  </td><td class="rightalign">  </td>
  +	</tr>
  +</table>
  +<br />
  +
  +</div>
  +<!-- SECTION [5145-5981] -->
  +<h2><a name="pastilles" id="pastilles">Pastilles</a></h2>
  +<div class="level2">
  +
  +<p>
  +<strong><code>Pad[x1 y1 x2 y2 thickness clearance mask name pad_number flags]</code></strong> 
  +</p>
  +<table class="inline">
  +	<tr>
  +		<th class="centeralign">  Item  </th><th class="centeralign">  Allowed Value  </th><th class="centeralign">  Explanation  </th><th class="centeralign">  Comment  </th>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  x1  </td><td class="centeralign">  1/100th mils  </td><td class="centeralign">  x(1st point)  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  y1  </td><td class="centeralign">  1/100th mils  </td><td class="centeralign">  y(1st point)  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  x2  </td><td class="centeralign">  1/100th mils  </td><td class="centeralign">  x(2nd point)  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  y2  </td><td class="centeralign">  1/100th mils  </td><td class="centeralign">  y(2nd point)  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  thickness  </td><td class="centeralign">  1/100 mils  </td><td class="centeralign">  épaisseur de métal entourant le segment de ligne  </td><td class="leftalign">voir le .pdf de Brorson  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  clearance  </td><td class="centeralign">  1/100 mils  </td><td class="centeralign">  distance vis à vis des autres zones de cuivre sur toutes les couches  </td><td class="leftalign">actually 1/2 of this number is used!  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  mask  </td><td class="centeralign">  1/100th mils  </td><td class="centeralign">  width of mask relief  </td><td class="leftalign">actual width of the mask centered on pad copper  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  name  </td><td class="centeralign">  string  </td><td class="centeralign">  name of pad (arb. string)  </td><td class="leftalign">e.g. â??pad_1â?? or â??positiveâ?? or any other string  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  pad_number  </td><td class="centeralign">  string  </td><td class="centeralign">  pad #  </td><td class="leftalign">used for nets. it MUST be consistent with the definitions on the netlist.  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  flags  </td><td class="centeralign">  hex value  </td><td class="centeralign">  xxx  </td><td class="rightalign">  </td>
  +	</tr>
  +</table>
  +<br />
  +
  +</div>
  +<!-- SECTION [5982-6937] -->
  +<h2><a name="broches" id="broches">Broches</a></h2>
  +<div class="level2">
  +
  +<p>
  +<strong><code>Pin[x y thickness clearance mask drillholedia name number flags]</code></strong> 
  +</p>
  +<table class="inline">
  +	<tr>
  +		<th class="centeralign">  Item  </th><th class="leftalign"> Allowed Value  </th><th class="centeralign">  Explanation  </th><th class="centeralign">  Comment  </th>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  x  </td><td class="centeralign">  1/100th mils  </td><td class="centeralign">  pin x coord.  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  y  </td><td class="centeralign">  1/100th mils  </td><td class="centeralign">  pin y coord.  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  thickness  </td><td class="centeralign">  1/100th mils  </td><td class="centeralign">  copper diameter  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  clearance  </td><td class="centeralign">  1/100th mils  </td><td class="centeralign">  2*(cu to cu clearance)  </td><td class="leftalign">if you want a 10 mil clearance, put 2000 (20 mils) here  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  mask  </td><td class="centeralign">  1/100th mils  </td><td class="centeralign">  diameter of mask aperture  </td><td class="leftalign">actual dia. of hole in mask  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  drillholedia  </td><td class="centeralign">  1/100th mils  </td><td class="centeralign">  dia. of hole  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  name  </td><td class="centeralign">  string  </td><td class="centeralign">  arb. pin name  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  number  </td><td class="centeralign">  decimal integer  </td><td class="centeralign">  pin number used by nets/rats  </td><td class="rightalign">  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  flags  </td><td class="centeralign">  hex  </td><td class="centeralign">  xxx  </td><td class="rightalign">  </td>
  +	</tr>
  +</table>
  +<br />
  +
  +</div>
  +<!-- SECTION [6938-7639] -->
  +<h2><a name="exemples" id="exemples">Exemples</a></h2>
  +<div class="level2">
  +<ul>
  +<li class="level1"><div class="li"> <strong><code>Pad[-24606 14760 -19538 14760 1181 2000 3181 â??â?? â??16â?? â??squareâ??]</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>Pad[-14760 19538 -14760 24606 1181 2000 3181 â??â?? â??17â?? â??square,edge2â??]</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>Pin[0 0 12000 2000 12500 6400 â??â?? â??1â?? 0Ã?00000001]</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>ElementArc [0 0 6800 6800 0 360 1000]</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>ElementLine [-26696 -26696 26696 -26696 1000]</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code># notes within PCB Footprints go after the pound sign</code></strong></div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [7640-] --></div>
   </body>
   </html>
  
  
  
  1.1.6.1   +935 -1    eda/geda/gaf/docs/wiki/001geda_pcb_tips.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_pcb_tips.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_pcb_tips.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- 001geda_pcb_tips.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_pcb_tips.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,12 +12,946 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:pcb_tips.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:pcb_tips.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-12-17T08:10:32-0500" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +<div class="toc">
  +<div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  +<div id="toc__inside">
  +
  +<ul class="toc">
  +<li class="level1"><div class="li"><span class="li"><a href="#outils_pcb" class="toc">Outils PCB</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#ou_puis-je_lire_les_bases_sur_l_utilisation_de_pcb" class="toc">Où puis-je lire les bases sur l'utilisation de pcb?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#j_ai_trouve_un_bogue_que_puis-je_y_faire" class="toc">J'ai trouvé un bogue·! Que puis-je y faire·?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_configurer_les_regles_du_fabricant_a_utiliser_i.e._diametres_de_percage_specification_des_largeurs_espacements_des_pistes" class="toc">Comment configurer les règles du fabricant à utiliser (i.e. diamètres de perçage, spécification des largeurs/espacements des pistes)?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#a_propos_des_empreintes" class="toc">Ã? propos des empreintes</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_fonctionne_le_systeme_d_empreintes_de_pcb" class="toc">Comment fonctionne le système d'empreintes de PCB?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#ou_puis-je_obtenir_des_empreintes_pre-dessinees_pour_pcb" class="toc">Où puis-je obtenir des empreintes pré-dessinées pour PCB?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#je_veux_utiliser_pcb_pour_faire_le_placement._comment_connaitre_la_valeur_a_utiliser_pour_l_attribut_d_empreinte" class="toc">Je veux utiliser PCB pour faire le placement. Comment connaitre la valeur à utiliser pour l'attribut d'empreinte?</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#newlib" class="toc">Newlib</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#bibliotheque_m4" class="toc">Bibliothèque M4</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#quelle_est_la_maniere_recommandee_pour_traiter_les_differentes_empreintes_pour_le_meme_type_de_composant" class="toc">Quelle est la manière recommandée pour traiter les différentes empreintes pour le même type de composant?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_dessine_une_nouvelle_une_empreinte" class="toc">Comment je dessine une nouvelle une empreinte?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_j_edite_change_une_empreinte_existante" class="toc">Comment j'édite/change une empreinte existante?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_j_ajoute_une_bibliotheque_d_empreintes_a_pcb" class="toc">Comment j'ajoute une bibliothèque d'empreintes à PCB?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#pcb_ne_trouve_par_mes_empreintes._pourquoi" class="toc">PCB ne trouve par mes empreintes. Pourquoi?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#maintenant_que_j_ai_toutes_ces_empreintes_ou_dois-je_les_placer" class="toc">Maintenant que j'ai toutes ces empreintes, où dois-je les placer?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#placement_de_composants" class="toc">Placement de composants</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_pivote_une_selection_i.e._pour_plus_d_un_element" class="toc">Comment je pivote une sélection (i.e. pour plus d'un élément)?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_change_la_taille_de_l_objet_graphique_comme_du_texte_des_lignes_de_masque_texte_etc" class="toc">Comment je change la taille de l'objet graphique (comme du texte, des lignes de masque texte, etc)?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_placer_les_composants_sur_les_deux_faces_de_pcb" class="toc">Comment placer les composants sur les deux faces de PCB?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_place_l_origine_dans_pcb" class="toc">Comment je place l'origine dans pcb?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_mesure_les_distances_et_les_dimensions_des_composants" class="toc">Comment je mesure les distances et les dimensions des composants?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#routage" class="toc">Routage</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_router_une_connexion_depuis_le_cote_soudure_vers_le_cote_composant_et_inversement" class="toc">Comment router une connexion depuis le côté soudure vers le côté composant et inversement?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_change_le_style_de_routage" class="toc">Comment je change le style de routage?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#j_ai_merde_comment_je_reviens_en_arriere" class="toc">J'ai merdé! Comment je reviens en arrière?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_deplace_un_jeu_de_piste_d_une_couche_a_l_autre" class="toc">Comment je déplace un jeu de piste d'une couche à l'autre?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_change_les_soldermask_clearance_autour_d_un_trou_via" class="toc">Comment je change les soldermask clearance autour d'un trou/via?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_changer_la_taille_des_pistes" class="toc">Comment changer la taille des pistes?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_pilote_un_via_pour_connecter_une_piste_a_un_plan_de_masse_sur_une_couche_differente" class="toc">Comment je pilote un via pour connecter une piste à un plan de masse sur une couche différente?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#je_veux_dessiner_une_piste_entre_deux_segments_sur_le_meme_net_mais_pcb_ne_me_laisse_pas_faire_pourquoi" class="toc">Je veux dessiner une piste entre deux segments sur le même net mais PCB ne me laisse pas faire! Pourquoi?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#pcb_semble_manger_mes_noms_de_composants_et_se_plaint_qu_il_ne_peut_pas_trouver_des_nets_adaptes_aux_broches_de_quoi_cela_vient" class="toc">PCB semble manger mes noms de composants et se plaint qu'il ne peut pas trouver des nets adaptés aux broches! De quoi cela vient?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_peux_configurer_la_couleur_et_l_epaisseur_des_rats_nests" class="toc">Comment je peux configurer la couleur et l'épaisseur des rats nests?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#au_dela_des_pistes_et_des_empreintes" class="toc">Au delà des pistes et des empreintes</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_trace_un_dessin_une_impression_ou_un_autre_pcb" class="toc">Comment je trace un dessin, une impression ou un autre PCB?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#je_ne_peux_pas_copier_des_pastilles_dans_un_circuit._comment_je_fais" class="toc">Je ne peux pas copier des pastilles dans un circuit. Comment je fais?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_remplis_les_zones_avec_cuivre" class="toc">Comment je remplis les zones avec cuivre?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#les_polygones_mettent_mes_pistes_a_la_masse_que_puis-je_y_faire" class="toc">Les polygones mettent mes pistes à la masse! Que puis-je y faire?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_change_le_polygon_clearance" class="toc">Comment je change le polygon clearance?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_cache_les_polygones_lorsque_j_edite_le_placement" class="toc">Comment je cache les polygones lorsque j'édite le placement?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_editer_les_polygones" class="toc">Comment éditer les polygones?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_place_des_vias_qui_connectent_un_polygone_pour_une_dissipation_thermique_complete_ou_pour_une_integrite_de_protection_complete" class="toc">Comment je place des vias qui connectent un polygone pour une dissipation thermique complète ou pour une intégrité de protection complète?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#les_polygones_peuvent-ils_etre_un-masques_un_polygone_peut-il_etre_de_cuivre_sans_masque_de_soudure" class="toc">Les polygones peuvent-ils être un-masqués? (Un polygone peut-il être de cuivre sans masque de soudure?)</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_place_des_trous_de_montage_mounting_hole" class="toc">Comment je place des trous de montage (mounting hole)?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#pourquoi_est-il_possible_de_realiser_des_ponts_thermiques_pour_les_broches_mais_pas_pour_une_pastille" class="toc">Pourquoi est-il possible de réaliser des ponts thermiques pour les broches mais pas pour une pastille?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#pcb_peut-il_etre_utilise_pour_realiser_des_cartes_a_simple_couche" class="toc">PCB peut-il être utilisé pour réaliser des cartes à simple couche?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#quelles_ressources_existent_pour_traiter_les_fichiers_pcb_en_utilisant_les_scripts" class="toc">Quelles ressources existent pour traiter les fichiers PCB en utilisant les scripts?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_j_importe_des_graphiques_vectoriels_externe" class="toc">Comment j'importe des graphiques vectoriels externe?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_j_attache_un_nom_a_mon_circuit" class="toc">Comment j'attache un nom à mon circuit?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#autorouteur" class="toc">Autorouteur</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_force_l_autorouteur_a_ne_placer_des_pistes_que_sur_une_couche_particuliere" class="toc">Comment je force l'autorouteur à ne placer des pistes que sur une couche particulière?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#commennt_forcer_l_autorouteur_a_router_seulement_dans_les_limites_des_contours_du_pcb" class="toc">Commennt forcer l'autorouteur à router seulement dans les limites des contours du pcb?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_route_les_plans_d_alimentation_et_de_masse_avec_l_autorouteur" class="toc">Comment je route les plans d'alimentation et de masse avec l'autorouteur?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#le_circuit_produit_par_l_autorouteur_est_inefficace" class="toc">Le circuit produit par l'autorouteur est inefficace!</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#le_circuit_produit_par_l_autorouteur_est_moche" class="toc">Le circuit produit par l'autorouteur est moche!</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#generation_gerber_et_production_de_fichiers_i_o" class="toc">Génération Gerber et production de fichiers I/O</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_realise_des_contours_de_carte_pour_proposer_mes_gerbers_vers_le_fabricant_de_carte" class="toc">Comment je réalise des contours de carte pour proposer mes gerbers vers le fabricant de carte?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#j_ai_fini_mon_placement._comment_je_le_controle" class="toc">J'ai fini mon placement. Comment je le contrôle?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#vous_n_avez_pas_repondu_a_mes_questions._ou_puis-je_trouver_d_autres_informations_sur_pcb" class="toc">Vous n'avez pas répondu à mes questions. Où puis-je trouver d'autres informations sur PCB?</a></span></div></li></ul>
   </div>
  +</div>
  +
  +<h1><a name="outils_pcb" id="outils_pcb">Outils PCB</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [1-26] -->
  +<h2><a name="ou_puis-je_lire_les_bases_sur_l_utilisation_de_pcb" id="ou_puis-je_lire_les_bases_sur_l_utilisation_de_pcb">Où puis-je lire les bases sur l'utilisation de pcb?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Le <a href="http://pcb.sourceforge.net/manual.html"; class="urlextern" title="http://pcb.sourceforge.net/manual.html";  rel="nofollow">manuel de pcb</a> contient une description concise de l&rsquo;interface utilisateur dans la section «·Getting Started·» (<a href="http://pcb.sourceforge.net/pcb-20060822/pcb.html#Getting%20Started"; class="urlextern" title="http://pcb.sourceforge.net/pcb-20060822/pcb.html#Getting%20Started";  rel="nofollow">version 20060822</a>)
  +</p>
  +
  +</div>
  +<!-- SECTION [27-342] -->
  +<h2><a name="j_ai_trouve_un_bogue_que_puis-je_y_faire" id="j_ai_trouve_un_bogue_que_puis-je_y_faire">J'ai trouvé un bogue·! Que puis-je y faire·?</a></h2>
  +<div class="level2">
  +<ol>
  +<li class="level1"><div class="li"> Débutez en lisant <a href="http://pcb.sourceforge.net/bugs.html"; class="urlextern" title="http://pcb.sourceforge.net/bugs.html";  rel="nofollow">the pcb bug reporting page</a>.</div>
  +</li>
  +<li class="level1"><div class="li"> Contrôlez, de quoi avons-nous besoin pour reproduire le bogue.</div>
  +</li>
  +<li class="level1"><div class="li"> Demandez sur la liste de <a href="http://www.geda.seul.org/mailinglist/index.html"; class="urlextern" title="http://www.geda.seul.org/mailinglist/index.html";  rel="nofollow">diffusion de geda-user</a> s&rsquo;il est en cours de correction ou s&rsquo;il a été réglé avec la version la plus à jour de pcb. Notez que vous devez être inscrits sur la liste de diffusion de geda-user avant de pouvoir poster.</div>
  +</li>
  +<li class="level1"><div class="li"> Vérifiez si le problème est déjà répertorié dans le <a href="http://sourceforge.net/tracker/?group_id=73743&atid=538811"; class="urlextern" title="http://sourceforge.net/tracker/?group_id=73743&amp;atid=538811";  rel="nofollow">bug tracking system de pcb</a>. Si ce n&rsquo;est pas le cas; émettez un rapport de bogue. Assurez-vous de donner toutes les informations nécessaires pour reproduire le bogue et ajoutez la version de pcb qui contient le bogue.</div>
  +</li>
  +<li class="level1"><div class="li"> Finallement, avec tous les projets open source ouverts, vous pourriez muscler vos muscles de programmation et tenter de régler le bogue par vous même. Veuillez envoyer un correctif sur les changements que vous avez effectués sur le <a href="http://sourceforge.net/tracker/?group_id=73743&atid=538811"; class="urlextern" title="http://sourceforge.net/tracker/?group_id=73743&amp;atid=538811";  rel="nofollow">BTS de pcb</a>. Le correctif sera accepté avec reconnaissance pour améliorer la prochaine version de pcb.</div>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [343-1613] -->
  +<h2><a name="comment_configurer_les_regles_du_fabricant_a_utiliser_i.e._diametres_de_percage_specification_des_largeurs_espacements_des_pistes" id="comment_configurer_les_regles_du_fabricant_a_utiliser_i.e._diametres_de_percage_specification_des_largeurs_espacements_des_pistes">Comment configurer les règles du fabricant à utiliser (i.e. diamètres de perçage, spécification des largeurs/espacements des pistes)?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Ce sujet est couvert dans la documentation disponible sur <a href="http://pcb.sourceforge.net/pcb-20060422/pcb.html#Vendor%20drill%20mapping"; class="urlextern" title="http://pcb.sourceforge.net/pcb-20060422/pcb.html#Vendor%20drill%20mapping";  rel="nofollow">le site PCBe</a>.
  +</p>
  +
  +</div>
  +<!-- SECTION [1614-1915] -->
  +<h1><a name="a_propos_des_empreintes" id="a_propos_des_empreintes">Ã? propos des empreintes</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [1916-1955] -->
  +<h2><a name="comment_fonctionne_le_systeme_d_empreintes_de_pcb" id="comment_fonctionne_le_systeme_d_empreintes_de_pcb">Comment fonctionne le système d'empreintes de PCB?</a></h2>
  +<div class="level2">
  +
  +<p>
  +PCB supporte deux mécanismes de bibliothèque entièrement séparés:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Le premier est appelé «·oldlib·», «·pcblib·» ou «·bibliothèque M4·». Ce système est historique·; il dépend du langage macro M4 pour générer des empreintes à la volée. La bibliothèque M4 est assez grande et a quelques bogues. Néanmoins, elle est très maniable et est distribuée avec PCB. Un fonctionnalité puissante de la bibliothèque m4 est qu&rsquo;une famille complète d&rsquo;empreintes peut être définie rapidement avec une macro de base appropriée.</div>
  +</li>
  +<li class="level1"><div class="li"> La seconde bibliothèque d&rsquo;empreintes pour PCB est appelée «·newlib·». Les empreintes de newlib sont définies en utilisant des fichiers de texte <acronym title="American Standard Code for Information Interchange">ASCII</acronym> qui appelent des primitives graphiques réalisant une empreinte complète. Les empreintes newlib peuvent aussi être créées graphiquement en utilisant PCB ou par toute autre méthode qui peut produire un fichier texte (éditeur de texte, script awk/perl/ruby, etc.).  </div>
  +</li>
  +</ol>
  +
  +<p>
  + C&rsquo;est pourquoi, pendant le placement, vous pouvez utiliser des empreintes qui sont distribuées avec PCB, vous pouvez trouver des empreintes par une recherche web ou vous pouvez vous créer la votre et la placer dans un répertoire dédié. Le <a href="http://pcb.sourceforge.net/manual.html"; class="urlextern" title="http://pcb.sourceforge.net/manual.html";  rel="nofollow">manuel pcb</a> est complet et mise à jour pour le format de fichier élément. Un tutoriel assez incomplet mais utile est disponible  sur le web comme: <a href="http://www.brorson.com/gEDA/"; class="urlextern" title="http://www.brorson.com/gEDA/";  rel="nofollow">http://www.brorson.com/gEDA/</a> (recherche des termes «·newlib·»).
  +</p>
  +
  +</div>
  +<!-- SECTION [1956-3509] -->
  +<h2><a name="ou_puis-je_obtenir_des_empreintes_pre-dessinees_pour_pcb" id="ou_puis-je_obtenir_des_empreintes_pre-dessinees_pour_pcb">Où puis-je obtenir des empreintes pré-dessinées pour PCB?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Actuellement, le meilleur endroit pour avoir de empreintes (en plus de la distribution PCB) est le <a href="http://www.gedasymbols.org/"; class="urlextern" title="http://www.gedasymbols.org";  rel="nofollow">site web des symboles gEDA</a>. Le <a href="http://www.luciani.org/geda/pcb/pcb-footprint-list.html"; class="urlextern" title="http://www.luciani.org/geda/pcb/pcb-footprint-list.html";  rel="nofollow">site web de John Luciani</a> possède une grand nombre d&rsquo;empreintes et d&rsquo;outils. De même, Darrell Harmon fournit un chouette script de génération d&rsquo;empreintes <a href="http://www.dlharmon.com/geda/footgen.html"; class="urlextern" title="http://www.dlharmon.com/geda/footgen.html";  rel="nofollow">sur son site web</a>. Votre contribution au projet est la bienvenue, ainsi que le partage des empreintes. Finallement, vous pouvez demander sur la liste geda-user car quelqu&rsquo;un pourrait avoir pitié et vous envoyer un symbole. Notez que vous devez souscrire à la liste de diffusion geda-user avant de pouvoir poster.
  +</p>
  +
  +</div>
  +<!-- SECTION [3510-4322] -->
  +<h2><a name="je_veux_utiliser_pcb_pour_faire_le_placement._comment_connaitre_la_valeur_a_utiliser_pour_l_attribut_d_empreinte" id="je_veux_utiliser_pcb_pour_faire_le_placement._comment_connaitre_la_valeur_a_utiliser_pour_l_attribut_d_empreinte">Je veux utiliser PCB pour faire le placement. Comment connaitre la valeur à utiliser pour l'attribut d'empreinte?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Cette question est une des plus habituelle parmi les nouveaux utilisateurs de gEDA. De fait, aider les nouveaux à déterminer les noms d&rsquo;empreintes appropriés est au coeur d&rsquo;un débat en cours sur les <a href="http://geda.seul.org/wiki/geda:faq-gschem.fr#quel_est_l_objet_de_cette_polemique_entre_les_symboles_lourds_et_legers"; class="wikilink1" title="geda:faq-gschem.fr">symboles légers vs. lourds</a>. Dans la distribution courante gEDA/gaf, les symboles sont légers, vous devez attacher l&rsquo;attribut footprint au niveau du schéma (i.e. en utilisant soit gschem, soit gattrib). Le nom des empreintes à utiliser dépend de si vous utilisez la bibliothèque newlib ou M4 (pcblib).
  +</p>
  +
  +</div>
  +<!-- SECTION [4323-5057] -->
  +<h3><a name="newlib" id="newlib">Newlib</a></h3>
  +<div class="level3">
  +
  +<p>
  +Newlib stocke une empreinte par fichier et les noms utilisés par newlib sont des noms de fichier des fichiers d&rsquo;empreinte.
  +</p>
  +
  +<p>
  +Il y a plusieurs manières de trouver les noms d&rsquo;empreintes newlib à utiliser·:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Vous pouvez naviguer dans les empreintes disponibles enlançant pcb et en ouvrant la fenêtre de bibliothèque d&rsquo;empreintes (disponibles depuis la barre de menu par «·Window â?? library·»). Clickez sur le groupe de bibliothèques «·newlib·» et sélectionnez une sous-bibliothèque pour chercher ses symboles. Le nom de chaque empreinte apparaît dans la fenêtre «·Elements·» sur le côté droit du navigateur de bibliothèque. Utilisez le nom exactement tel qu&rsquo;il apparaît dans le navigateur pour l&rsquo;attribut d&rsquo;empreinte dans gschem ou gattrib.</div>
  +</li>
  +<li class="level1"><div class="li"> Les empreintes newlib distribuées avec PCB sont stockées dans les répertoires sous <strong><code>${PREFIX}/share/pcb/newlib</code></strong>. (<strong><code>${PREFIX}</code></strong> est le répertoire d&rsquo;installation spécifié lors de la configuration/compilation de PCB.) Le nom à coller avec l&rsquo;attribut «·footprint·» est le nom de fichier de l&rsquo;empreinte que vous souhaitez utiliser.<br/>
  + Par exemple, sur ma machine, j&rsquo;ai installé gEDA avec le préfix <strong><code>/usr/local/geda/</code></strong>. Le boîtier 0805 (pour les résistances ou capas SMT) est dans un fichier avec un chemin absolu<br/>
  + <strong><code>/usr/local/geda/share/pcb/newlib/generic_SMD_packages/0805_reflow_solder</code></strong> <br/>
  + Donc, pour utiliser cette empreinte avec un composant, je met son attribut «·footprint·» à <strong><code>0805_reflow_solder</code></strong> en utilisant gschem ou gattrib.<br/>
  + Notez que si le symbole newlib que vous voulez utiliser est dans un répertoire non-standard, gsch2pcb aura besoin que vous lui spécifiez un chemin vers ce répertoire, siot dans votre fichier project.rc (si vous en utilisez un) ou en utilisant le drapeau <strong><code>â??elements-dir</code></strong>  (depuis la ligne de commande).</div>
  +</li>
  +<li class="level1"><div class="li"> Finalement, comme chaque nouveau schéma vous imposera de dessiner au moins une ou deux nouvelles empreintes, il serait bien d&rsquo;avoir un répertoire d&rsquo;«·empreintes·» local. Comme précédement, le nom d&rsquo;empreinte à utiliser est le nom de fichier que vous assignez à chacune de vos nouvelles empreintes. Encore une fois, n&rsquo;oubliez pas d&rsquo;ajouter une ligne à votre fichier project.rc indiquant à gsch2pcb où trouver vos empreintes locales. Alternativement, vous pouvez lancer gsch2pcb avec le drapeau <strong><code>â??elements-dir</code></strong> pour pointer vers votre répertoire d&rsquo;empreintes local.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [5058-7532] -->
  +<h3><a name="bibliotheque_m4" id="bibliotheque_m4">Bibliothèque M4</a></h3>
  +<div class="level3">
  +
  +<p>
  +La bibliothèque M4 stocke les empreintes comme des macros M4·; Il y a habituellement plusieurs (beaucoup) d&rsquo;empreintes contenues dans chaque fichier d&rsquo;empreinte. Les différentes empreintes dans un simple fichier sont généralement des variations d&rsquo;un même motif (e.g. DIP-8, DIP-14, DIP-16, etc.). La manière la plus facile de trouver le nom d&rsquo;attribut correct est de naviguer dans la bibliothèque «·pcblib·» par la fenêtre de bibliothèque de PCB.  Les attributs d&rsquo;empreinte sont données entre crochets dans la description. Vous pouvez aussi voir la liste des empreintes de pcblib sur la <a href="http://www.gedasymbols.org/footprints/"; class="urlextern" title="http://www.gedasymbols.org/footprints/";  rel="nofollow">page web des symboles gEDA</a>.
  +</p>
  +
  +<p>
  +Les bibliothèques m4 suivantes ont reçu plus d&rsquo;attention et d&rsquo;amélioration que les autres·:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> ~amp pour les connecteurs Amp</div>
  +</li>
  +<li class="level1"><div class="li"> ~amphenol pour les connecteurs Amphenol</div>
  +</li>
  +<li class="level1"><div class="li"> ~geda pour plusieurs éléments utilisés dans les circuits basiques utilisés par gEDA (résistances, capacités, etc).</div>
  +</li>
  +<li class="level1"><div class="li"> ~bourns pour les produits comme des potentiomètres de Bourns</div>
  +</li>
  +<li class="level1"><div class="li"> ~cts pour les produits comme les réseaux de résistances de CTS</div>
  +</li>
  +<li class="level1"><div class="li"> ~johnstech pour les sockets Johnstech</div>
  +</li>
  +<li class="level1"><div class="li"> ~minicircuits pour les empreintes spécifiques Minicircuits</div>
  +</li>
  +<li class="level1"><div class="li"> ~panasonic pour quelques empreintes spécifiques Panasonic</div>
  +</li>
  +</ul>
  +
  +<p>
  + Finalement, pour les bibliothèques newlib et M4, il est extrêmement important que vous vérifiez que les noms d&rsquo;empreintes utilisées épelent *exactement* celui de l&rsquo;empreinte que vous voulez placer dans PCB. C&rsquo;es la raison pour laquelle il est critique d&rsquo;inspecter l&rsquo;empreinte avant de l&rsquo;utiliser. Vous pouvez vérifier l&rsquo;empreinte que vous voulez utiliser en cliquant dessus dans la «·bibliothèque d&rsquo;empreinte·» puis le placer dans une zone vide de la zone de dessin de PCB. Inspectez manuellement l&rsquo;empreinte pour vous assurer que le nombre correct de broches/pastilles, que les dimensions sont correctes, etc.
  +</p>
  +
  +<p>
  +Aussi, une fois que vous avez généré les fichiers Gerber, assurez-vous d&rsquo;avoir <span class="curid"><a href="http://geda.seul.org/wiki/geda:pcb_tips.fr#j_ai_fini_mon_placement._comment_je_le_controle"; class="wikilink1" title="geda:pcb_tips.fr">inspecté toutes les empreintes instantiées dans vos Gerbers</a></span> en utilisant gerbv (ou un visualiseur Gerber équivalent) avant que vous n&rsquo;envoyiez votre circuit à la fabrication.
  +</p>
  +
  +</div>
  +<!-- SECTION [7533-9792] -->
  +<h2><a name="quelle_est_la_maniere_recommandee_pour_traiter_les_differentes_empreintes_pour_le_meme_type_de_composant" id="quelle_est_la_maniere_recommandee_pour_traiter_les_differentes_empreintes_pour_le_meme_type_de_composant">Quelle est la manière recommandée pour traiter les différentes empreintes pour le même type de composant?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Par exemple, un ampli op peut être DIP8 ou SO8. Une résistance peut être 0603, 0805, 1208 ou passante. Comment je sais quel boîtier et empreinte utiliser et comment je gère les choix?
  +</p>
  +
  +<p>
  +D&rsquo;abord, l&rsquo;empreinte que vous devez utiliser est votre décision, pas celui de votre outil conception. C&rsquo;est à vous de choisir votre boîtier préféré puis attacher l&rsquo;attribut d&rsquo;empreinte correct dans le composant du schéma. Une fois que vous avez choisi le boîtier (et l&rsquo;empreinte), vous voudrez utiliser soit <span class="curid"><a href="http://geda.seul.org/wiki/geda:pcb_tips.fr#ou_puis-je_obtenir_des_empreintes_pre-dessinees_pour_pcb"; class="wikilink1" title="geda:pcb_tips.fr">trouver une empreinte appropriée</a></span> ou en <span class="curid"><a href="http://geda.seul.org/wiki/geda:pcb_tips.fr#comment_je_dessine_une_nouvelle_une_empreinte"; class="wikilink1" title="geda:pcb_tips.fr">dessiner une vous même</a></span> et la sauvegarder dans un répertoire local.
  +</p>
  +
  +<p>
  +Pour la gestion des choix d&rsquo;empreintes (et donc le grand nombre d&rsquo;attributs de composants que vous êtes susceptibles d&rsquo;avoir): Utilisez <a href="http://geda.seul.org/wiki/geda:faq-attribs.fr#au_secours_mon_schema_a_des_centaines_de_composants_et_c_est_penible_d_utiliser_gschem_pour_attacher_tous_mes_attributs"; class="wikilink1" title="geda:faq-attribs.fr">gattrib</a>. C&rsquo;est fait pour.
  +</p>
  +
  +</div>
  +<!-- SECTION [9793-10988] -->
  +<h2><a name="comment_je_dessine_une_nouvelle_une_empreinte" id="comment_je_dessine_une_nouvelle_une_empreinte">Comment je dessine une nouvelle une empreinte?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Tout le monde le fait à sa manière. Quelques personnes dessinent les empreintes en utilisant seulement PCB. Quelques personnes dessinent d&rsquo;abord une empreinte préliminaire dans PCB et puis la terminent ensuite à la main et l&rsquo;éditant (e.g. en utilisant emacs). Quelques personnes écrivent des scripts <acronym title="Practical Extraction and Report Language">Perl</acronym> pour générer automatiquement des empreintes.
  +</p>
  +
  +<p>
  +Karel Kulhavy préfère dessiner l&rsquo;empreinte entièrement en utilisant PCB, qui peut être la manière la moins dangereuse à utiliser pour les nouveaux. Il maintient un <a href="http://ronja.twibright.com/guidelines/footprints.php"; class="urlextern" title="http://ronja.twibright.com/guidelines/footprints.php";  rel="nofollow">HOWTO de procédure de création d'empreintes</a> sur son site web Ronja.
  +</p>
  +
  +<p>
  +Si vous voulez éditer le fichier empreinte à la main, le <a href="http://www.brorson.com/gEDA/land_patterns_20050129.pdf"; class="urlextern" title="http://www.brorson.com/gEDA/land_patterns_20050129.pdf";  rel="nofollow">manuel sur la création d'empreintes</a> par Stephen Meier et Stuart Brorson fournissent les détails de la syntaxe. Le document donne aussi des conseils sur la manière de faire des conceptions élégantes qui s&rsquo;appliquent aussi à l&rsquo;interface graphique de la création d&rsquo;empreintes.
  +</p>
  +
  +</div>
  +<!-- SECTION [10989-12104] -->
  +<h2><a name="comment_j_edite_change_une_empreinte_existante" id="comment_j_edite_change_une_empreinte_existante">Comment j'édite/change une empreinte existante?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Vous pouvez convertir une empreinte de circuit, éditer les éléments et les reconvertir en empreinte. DAns la liste suivante, les éléments en polices mono space sont des actions depuis le menu buffer.
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Selectionner l&rsquo;élément</div>
  +</li>
  +<li class="level1"><div class="li"> Copier la sélection vers le tampon</div>
  +</li>
  +<li class="level1"><div class="li"> <code>Séparez le tampon en morceaux</code></div>
  +</li>
  +<li class="level1"><div class="li"> <code>Copiez le tampon dans le placement</code></div>
  +</li>
  +<li class="level1"><div class="li"> Effectuez les changement souhaités</div>
  +</li>
  +<li class="level1"><div class="li"> Sélectionnez tous les objets qui appartiennent aux empreintes</div>
  +</li>
  +<li class="level1"><div class="li"> <code>Copiez la sélection dans le tampon</code></div>
  +</li>
  +<li class="level1"><div class="li"> <code>Convertissez le tampon en élément</code></div>
  +</li>
  +<li class="level1"><div class="li"> <code>Copiez le tampon dans le placement</code></div>
  +</li>
  +<li class="level1"><div class="li"> Placez la souris sur les pastilles qui ont été arrondis pendant l&rsquo;étape 4 et pressez q. Cela arrondit les bords des pastilles.</div>
  +</li>
  +<li class="level1"><div class="li"> Allez sur chaque pastille, pressez n et donnez un nom à la pastille</div>
  +</li>
  +<li class="level1"><div class="li"> Sélectionnez tout puis <code>copy selection to buffer</code></div>
  +</li>
  +<li class="level1"><div class="li"> <code>Save buffer as elements to file</code>. Le fichier empreinte sera créé à l&rsquo;endroit d&rsquo;où pcb a été appelé.</div>
  +</li>
  +</ol>
  +
  +<p>
  +Alternativement, vous pouvez utiliser votre éditeur de texte favori et éditez le code source de l&rsquo;empreinte.
  +</p>
  +
  +</div>
  +<!-- SECTION [12105-13249] -->
  +<h2><a name="comment_j_ajoute_une_bibliotheque_d_empreintes_a_pcb" id="comment_j_ajoute_une_bibliotheque_d_empreintes_a_pcb">Comment j'ajoute une bibliothèque d'empreintes à PCB?</a></h2>
  +<div class="level2">
  +
  +<p>
  +L&rsquo;ajoût d&rsquo;une bibliothèque d&rsquo;empreintes peut être réalisée depuis l&rsquo;interface graphique:<br/>
  +  <strong><em>File</em></strong> â??&gt; <strong><em>Preferences</em></strong> â??&gt; <strong><em>Library</em></strong> â??&gt; <strong>FOOTPRINTDIRECTORY</strong><br/>
  +  Alternativement, vous pouvez éditer le fichier <code>$HOME/.pcb/preferences</code>. Cherchez la ligne qui débute par «·library-newlib·».  N&rsquo;oubliez pas d&rsquo;inclure le nouveau répertorie dans votre fichier de ressource gsch2pcb (si vous utilisez gsch2pcb, bien sûr).
  +</p>
  +
  +</div>
  +<!-- SECTION [13250-13768] -->
  +<h2><a name="pcb_ne_trouve_par_mes_empreintes._pourquoi" id="pcb_ne_trouve_par_mes_empreintes._pourquoi">PCB ne trouve par mes empreintes. Pourquoi?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Le chemin des empreintes utilisé par PCB est défini en utilisant la variable <strong><code>Pcb.elementPath</code></strong> dans le fichier des applications par défaut appelé <strong><code>PCB</code></strong>. Le chemin pour le fichier <strong><code>PCB</code></strong> est configuré en utilisant la variable d&rsquo;environnement <strong><code>XAPPLRESDIR</code></strong> qui est typiquement fait avec un script nommé <strong><code>pcb</code></strong>.
  +</p>
  +
  +</div>
  +<!-- SECTION [13769-14168] -->
  +<h2><a name="maintenant_que_j_ai_toutes_ces_empreintes_ou_dois-je_les_placer" id="maintenant_que_j_ai_toutes_ces_empreintes_ou_dois-je_les_placer">Maintenant que j'ai toutes ces empreintes, où dois-je les placer?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Je préfère placer toutes les empreintes «·prêtes pour la production·» dans un seul répertoire qui n&rsquo;est pas dans l&rsquo;arbre d&rsquo;installation de gEDA/PCB. Lorsqu&rsquo;une nouvelle version de gEDA/PCB sort, je ne fais aucun changement aux fichier de projet ou aux bibliothèques. S&rsquo;il y a des empreintes newlib dans la bibliothèque PCB que je veux utiliser, je les copie dans le répertoire d&rsquo;empreintes «·prêtes pour la production·».
  +</p>
  +
  +<p>
  +Plutôt que changer les fichiers de configuration permettant à gsch2pcb de trouver les empreintes, j&rsquo;ai créé un script appelé <strong><code>sch2pcb</code></strong> qui contient le chemin des empreitnes. Tous les utilisateurs utilisent le même script et accèdent aux même empreintes de production.
  +</p>
  +
  +<p>
  +Pour utiliser le script <strong><code>sch2pcb</code></strong> qui est listé ci-dessus, remplacez la chaîne <strong><code>FOOTPRINT_DIR</code></strong> avec votre répertoire d&rsquo;empreintes: 
  +</p>
  +<pre class="code">
  +#!/bin/bash
  +gsch2pcb --elements-dir FOOTPRINT_DIR $@
  +</pre>
  +
  +<p>
  +Ou une autre version utilisateur: 
  +</p>
  +<pre class="code">
  +#!/bin/bash
  +#this script was written by John Luciani
  +gsch2pcb --elements-dir /home/user/pcb/footprints/user --use-files $@
  +</pre>
  +
  +</div>
  +<!-- SECTION [14169-15356] -->
  +<h1><a name="placement_de_composants" id="placement_de_composants">Placement de composants</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [15357-15395] -->
  +<h2><a name="comment_je_pivote_une_selection_i.e._pour_plus_d_un_element" id="comment_je_pivote_une_selection_i.e._pour_plus_d_un_element">Comment je pivote une sélection (i.e. pour plus d'un élément)?</a></h2>
  +<div class="level2">
  +<ol>
  +<li class="level1"><div class="li"> Sélectionnez les éléments</div>
  +</li>
  +<li class="level1"><div class="li"> Buffer â?? Coupez la sélection vers le tampon</div>
  +</li>
  +<li class="level1"><div class="li"> Buffer â?? Pivotez le tampon de 90 deg CCW (ou CW)</div>
  +</li>
  +<li class="level1"><div class="li"> Clickez partout sur la carte et la sélection est à nouveau collée sur le circuit.</div>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [15396-15702] -->
  +<h2><a name="comment_je_change_la_taille_de_l_objet_graphique_comme_du_texte_des_lignes_de_masque_texte_etc" id="comment_je_change_la_taille_de_l_objet_graphique_comme_du_texte_des_lignes_de_masque_texte_etc">Comment je change la taille de l'objet graphique (comme du texte, des lignes de masque texte, etc)?</a></h2>
  +<div class="level2">
  +<ul>
  +<li class="level1"><div class="li"> La souris sur l&rsquo;objet et frappez [<strong><code>s</code></strong>]. Cela augmentera la taille de l&rsquo;objet sur lequel est la souris.</div>
  +</li>
  +<li class="level1"><div class="li"> La souris sur l&rsquo;objet et grappez [<strong><code>&lt;shift&gt;-S</code></strong>]. Cela diminuera la taille de l&rsquo;objet sur lequel est la souris.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Vous pouvez modifier la valeur d&rsquo;augmentation/diminution en utilisant le menu <strong><em>file</em></strong> â?? <strong><em>preferences</em></strong> â?? <strong><em>increments</em></strong>.
  +</p>
  +
  +</div>
  +<!-- SECTION [15703-16188] -->
  +<h2><a name="comment_placer_les_composants_sur_les_deux_faces_de_pcb" id="comment_placer_les_composants_sur_les_deux_faces_de_pcb">Comment placer les composants sur les deux faces de PCB?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Il y a deux manières de le faire:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Presser la touche de tabulation alternera le côté actif entre le côté composants et et soudure. Lorsque vous placez des composants, il iront sur le côté actif.</div>
  +</li>
  +<li class="level1"><div class="li"> Si vous visualisez un côté de la carte, placez y un composant et (avec le pointeur dessus), pressez la touche [<strong><code>b</code></strong>] (ce qui signifie, envoyer le composant de l&rsquo;autre côté), le composant va vers l&rsquo;autre côté de la carte.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [16189-16699] -->
  +<h2><a name="comment_je_place_l_origine_dans_pcb" id="comment_je_place_l_origine_dans_pcb">Comment je place l'origine dans pcb?</a></h2>
  +<div class="level2">
  +
  +<p>
  +L&rsquo;origine absolue est toujours dans le coin haut gauche de la zone accessible. Ceci ne peut être configuré ailleurs. Néanmoins, les coordonnées des objets peuvent aussi être donnés en relation de la grile courante. Dans la version GTK2 de pcb, les coordonnées sont montrées dans le coin haut droit de la fenêtre principale. La bonne valeur est la position absolue, alors que la valeur de gauche reflète la position relative d&rsquo;un marqueur arbitraire. Ce marqueur est configuré à la position courante de la souris par la séquence de touche [<strong><code>ctrl-m</code></strong>]. Vous pouvez vouloir configurer le marqueur sur un point de grille ou de broche spécifique.
  +</p>
  +
  +</div>
  +<!-- SECTION [16700-17410] -->
  +<h2><a name="comment_je_mesure_les_distances_et_les_dimensions_des_composants" id="comment_je_mesure_les_distances_et_les_dimensions_des_composants">Comment je mesure les distances et les dimensions des composants?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Utilisez [<strong><code>ctrl-m</code></strong>] pour configurer l&rsquo;origine et lisez la distance de ce point par rapport au pointeur de souris sur le bord haut gauche de la fenêtre de pcb. Quelques objets comme les vias et les pistes donnent des informations utiles dans les rapports d&rsquo;objets. Accédez au rapport de l&rsquo;objet sous le pointeur de souris avec [<strong><code>ctrl-r</code></strong>].
  +</p>
  +
  +</div>
  +<!-- SECTION [17411-17841] -->
  +<h1><a name="routage" id="routage">Routage</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [17842-17863] -->
  +<h2><a name="comment_router_une_connexion_depuis_le_cote_soudure_vers_le_cote_composant_et_inversement" id="comment_router_une_connexion_depuis_le_cote_soudure_vers_le_cote_composant_et_inversement">Comment router une connexion depuis le côté soudure vers le côté composant et inversement?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Lors de l&rsquo;utilistion de l&rsquo;outil line tool, utilisez les touches de chiffres en haut du clavier pour changer de couche. Un via sera placé automatiquement à la fin du dernier segment complet.
  +</p>
  +
  +</div>
  +<!-- SECTION [17864-18163] -->
  +<h2><a name="comment_je_change_le_style_de_routage" id="comment_je_change_le_style_de_routage">Comment je change le style de routage?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Il existe un jeu de tailles de routage prédéfinies. Le jeu porte des noms suggestifs (Signal, Power, Fat et Skinny). Touchez le bouton «·Route Style·» pour configurer les tailles du jeu courant à vos besoins. L&rsquo;outil line connaît différents modes pour traiter les connexions transversales. La status line sur le bas de la page indique quel mode est en cours:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> 45° plus vertical/horizontal (status line: â??\_â??)</div>
  +</li>
  +<li class="level1"><div class="li"> vertical plus 45° (status line: â??_/â??)</div>
  +</li>
  +<li class="level1"><div class="li"> either vertical or 45° (status line: â??45â??)</div>
  +</li>
  +<li class="level1"><div class="li"> arbitrary angle (status line: â??allâ??)</div>
  +</li>
  +</ol>
  +
  +<p>
  +La manière d&rsquo;accéder à ces modes diffère en fonction des version de l&rsquo;interface. La version GTK courante (v20060288) a par défaut â??_/â?? mais peut être modifiée temporairement en â??\_â?? avec la touche shift. Vous pouvez passer au mode 45° avec la touche slash â??/â??. Pour les angles arbitraires, pressez la touche point «·.·» ou choisissez «·enable all line directions·» dans le setting menu.
  +</p>
  +
  +</div>
  +<!-- SECTION [18164-19200] -->
  +<h2><a name="j_ai_merde_comment_je_reviens_en_arriere" id="j_ai_merde_comment_je_reviens_en_arriere">J'ai merdé! Comment je reviens en arrière?</a></h2>
  +<div class="level2">
  +
  +<p>
  +La touche universelle undo [<strong><code>U</code></strong>] fonctionne même en pleine action de placement de piste. IIl enlèvera le dernier segment mais gardera l&rsquo;outil line attaché à la souris. Vous pouvez donc immediatement aller sur le routage et trouver un meilleur placement.
  +</p>
  +
  +</div>
  +<!-- SECTION [19201-19522] -->
  +<h2><a name="comment_je_deplace_un_jeu_de_piste_d_une_couche_a_l_autre" id="comment_je_deplace_un_jeu_de_piste_d_une_couche_a_l_autre">Comment je déplace un jeu de piste d'une couche à l'autre?</a></h2>
  +<div class="level2">
  +<ol>
  +<li class="level1"><div class="li"> Sélectionnez les pistes. C&rsquo;est plus facile à faire sur vous fermez d&rsquo;abord tout le reste sur cette couche (i.e. silk, broches, autres couches, etc).</div>
  +</li>
  +<li class="level1"><div class="li"> Configurez la nouvelle couche de réception. Oui, la nouvelle couche doit être affichée·; ce qui n&rsquo;est pas un problème si vous avez déjà sélectionné les pistes à déplacer.</div>
  +</li>
  +<li class="level1"><div class="li"> Pressez [<strong><code>shift-M</code></strong>] pour déplacer toutes les pistes sélectionnées vers la couche courante.</div>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [19523-20041] -->
  +<h2><a name="comment_je_change_les_soldermask_clearance_autour_d_un_trou_via" id="comment_je_change_les_soldermask_clearance_autour_d_un_trou_via">Comment je change les soldermask clearance autour d'un trou/via?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Vous pouvez augmenter la soldermask clearance depuis tout trou/via en positionnant le curseur sur l&rsquo;objet et tapez la touche [<strong><code>k</code></strong>]. Vous pouvez diminuer la clearance en utilisant la touche [<strong><code>&lt;shift&gt;-K</code></strong>].
  +</p>
  +
  +</div>
  +<!-- SECTION [20042-20335] -->
  +<h2><a name="comment_changer_la_taille_des_pistes" id="comment_changer_la_taille_des_pistes">Comment changer la taille des pistes?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Il existe plusieurs manières de changer la taille de piste déjà placées:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Utilisez [<strong><code>s</code></strong>] et [<strong><code>shift-s</code></strong>] pour augmenter ou diminuer la taille de la piste sous le curseur de la souris.</div>
  +</li>
  +<li class="level1"><div class="li"> choisissez <strong><code>Select/Change_size_of_selected_objects/Decrement_lines_by_4mil</code></strong> depuis le menu <strong><code>Select</code></strong>. La valeur de changement  peut être configurée dans <strong><code>File/Preferences/Sizes</code></strong>. Cela n&rsquo;agit que sur les pistes. Donc la sélection peut contenir des composants, des textes, vias et ainsi de suite.</div>
  +</li>
  +<li class="level1"><div class="li"> Sélectionnez les pistes devant être changées et tapez <strong><code>:ChangeSize(SelectedLines,+4,mils)</code></strong>. La virgule vous offre la ligne de commande et <strong><code>ChangeSize()</code></strong> est la version commandée de l&rsquo;action précédemment décrite. Remplacez â??<strong><code>+4</code></strong>â?? par la valeur à laquelle vous voulez augmenter la taille de la piste. Utilisez le signe moins pour diminuer la taille de la piste. Si vous omettez le signe, la commande configure la taille de la piste à la valeur donnée.</div>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [20336-21393] -->
  +<h2><a name="comment_je_pilote_un_via_pour_connecter_une_piste_a_un_plan_de_masse_sur_une_couche_differente" id="comment_je_pilote_un_via_pour_connecter_une_piste_a_un_plan_de_masse_sur_une_couche_differente">Comment je pilote un via pour connecter une piste à un plan de masse sur une couche différente?</a></h2>
  +<div class="level2">
  +<ol>
  +<li class="level1"><div class="li"> Configurez la couche de plan de masse comme la couche active.</div>
  +</li>
  +<li class="level1"><div class="li"> Sélectionnez l&rsquo;outil «·via·».</div>
  +</li>
  +<li class="level1"><div class="li"> Placez le via où vous voulez qu&rsquo;il aille (clic gauche pour le placer).</div>
  +</li>
  +<li class="level1"><div class="li"> Sélectionnez maintenant l&rsquo;outil «·thermal·».</div>
  +</li>
  +<li class="level1"><div class="li"> Clic gauche sur le via que vous venez juste de placer.</div>
  +</li>
  +<li class="level1"><div class="li"> Changer maintenant la couche active en celle de la couche de routage souhaitée.</div>
  +</li>
  +<li class="level1"><div class="li"> Sélectionnez l&rsquo;outil «·line·».</div>
  +</li>
  +<li class="level1"><div class="li"> Routez la piste sur la couche active vers ou depuis le via comme d&rsquo;habitude.</div>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [21394-22004] -->
  +<h2><a name="je_veux_dessiner_une_piste_entre_deux_segments_sur_le_meme_net_mais_pcb_ne_me_laisse_pas_faire_pourquoi" id="je_veux_dessiner_une_piste_entre_deux_segments_sur_le_meme_net_mais_pcb_ne_me_laisse_pas_faire_pourquoi">Je veux dessiner une piste entre deux segments sur le même net mais PCB ne me laisse pas faire! Pourquoi?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Vous pouvez dessiner des pistes avec auto-DRC à on. Pour connecter les deux segments, vous avez ici quelques suggestions:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> DRC enforcement utilise le ratsnest pour déterminer où les pistes sont permises. Donc, vous devez avoir des ratsnest dessinés de manière à réaliser des connexions dans le mode auto-DRC. Sinon, vous ne serez pas authorisés) à connecter (ou approcher) toute zone de cuivre qui n&rsquo;est pas connectée à notre net. (Si la visibilité du rat vous embête, vous pouvez cacher les couches de rat â?? mais les rats doivent exister).</div>
  +</li>
  +<li class="level1"><div class="li"> Vous devrez aussi rafraîchir les rats régulièrement lors du dessin. Tapez [<strong><code>o</code></strong>] pour redessiner/re-optimiser les rats. Assurez-vous qu&rsquo;un rat connecte visiblement deux pièces de métal que vous souhaitez réunir.</div>
  +</li>
  +<li class="level1"><div class="li"> Il est aussi possible que vous expérimentiez cette situation lors du dessin de pistes entre les broches d&rsquo;un connecteur. Dans ce cas, il est possible que la largeur de piste viole les règles de clearance du champ de broche. Tentez de diminuer la clearance broche-vers-métal ou utilisez une épaisseur de piste plus étroite.</div>
  +</li>
  +<li class="level1"><div class="li"> Quelques fois, ce comportement de blocage du routage peut provenir d&rsquo;une erreur dans votre netlist. Ne terminez pas les refdes avec des minuscules - elles sont réservées pour els portes à l&rsquo;intérieur des composants. Finissez avec un majuscule ou un chiffre·; les minuscules sont simplement ignorées.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [22005-23552] -->
  +<h2><a name="pcb_semble_manger_mes_noms_de_composants_et_se_plaint_qu_il_ne_peut_pas_trouver_des_nets_adaptes_aux_broches_de_quoi_cela_vient" id="pcb_semble_manger_mes_noms_de_composants_et_se_plaint_qu_il_ne_peut_pas_trouver_des_nets_adaptes_aux_broches_de_quoi_cela_vient">PCB semble manger mes noms de composants et se plaint qu'il ne peut pas trouver des nets adaptés aux broches! De quoi cela vient?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Plus raisonnablement, vous les nommez de telle manière que pcb croie qu&rsquo;ils ne forment qu&rsquo;un élément. Les minuscules à la fin d&rsquo;un refdes sont ignorés. Donc, les composants U2foo et U2bar ressemblent tous les deux à U2 pour pcb. Lors de la construction des rat nests, pcb cherchera des nets pour U2 qui, bien sûr, n&rsquo;existe pas. Les minuscules sont destinées pour différentier les slots d&rsquo;un multi-composant. E.g., les quatres symboles opamp d&rsquo;un amplificateur opérationnel quadruple.<br/>
  + Ligne de fin: N&rsquo;utilisez pas les minuscules à la fin d&rsquo;un refdes, à moins que vous ne sachiez ce que vous faites.  
  +</p>
  +
  +</div>
  +<!-- SECTION [23553-24310] -->
  +<h2><a name="comment_je_peux_configurer_la_couleur_et_l_epaisseur_des_rats_nests" id="comment_je_peux_configurer_la_couleur_et_l_epaisseur_des_rats_nests">Comment je peux configurer la couleur et l'épaisseur des rats nests?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Vous pouvez configurer la couleur des rats dans <code>File - Preference - Colors - Main colors</code>
  +</p>
  +
  +<p>
  +Il n&rsquo;existe actuellement pas d&rsquo;interface graphique pour changer les épaisseurs de rat mais vous pouvez éditer votre fichier <code>$HOME/.pcb/preference</code> manuellement. Fermez toutes les instances de pcb et cherchez la ligne qui débute avec <code>rat-thickness</code>.   
  +</p>
  +
  +</div>
  +<!-- SECTION [24311-24748] -->
  +<h1><a name="au_dela_des_pistes_et_des_empreintes" id="au_dela_des_pistes_et_des_empreintes">Au delà des pistes et des empreintes</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [24749-24801] -->
  +<h2><a name="comment_je_trace_un_dessin_une_impression_ou_un_autre_pcb" id="comment_je_trace_un_dessin_une_impression_ou_un_autre_pcb">Comment je trace un dessin, une impression ou un autre PCB?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Voyez la page <a href="http://www.delorie.com/pcb/bg-image.html"; class="urlextern" title="http://www.delorie.com/pcb/bg-image.html";  rel="nofollow">http://www.delorie.com/pcb/bg-image.html</a> sur le site web PCB HID de DJ Delorie.  
  +</p>
  +
  +<p>
  +C&rsquo;est un bonne manière pour tracer des images à la main ou un autre PCB, disons un de ceux que vous en avez un au format propriétaire, que vous voudriez «·déverrouiller·» maintenant. De plus, vous pouvez utiliser des images comme outils pour faire des révisions de cartes ou des reconceptions.
  +</p>
  +
  +<p>
  +Si vous n&rsquo;aimez pas utiliser PCB confiné à la zone de la carte, i.e. si vous voulez des marges autour de la carte, alors ajoutez-les dans GIMP. J&rsquo;aime faire des marges de 1.00000 autour de la carte. Lorsque vous configurez votre taille de PCB, vous voudrez ajouter votre zone de marge. CTRL-M vous aidera à vérifier la taille. Aussi, le temps de corriger les distorsions de votre scanner ou depuis votre dessin est à faire avant de le charger, dans GIMP ou un des équivalent.
  +</p>
  +
  +</div>
  +<!-- SECTION [24802-25761] -->
  +<h2><a name="je_ne_peux_pas_copier_des_pastilles_dans_un_circuit._comment_je_fais" id="je_ne_peux_pas_copier_des_pastilles_dans_un_circuit._comment_je_fais">Je ne peux pas copier des pastilles dans un circuit. Comment je fais?</a></h2>
  +<div class="level2">
  +
  +<p>
  +<strong>Question:</strong> Je veux copier une section de mon placement en un autre endroit.  
  +</p>
  +
  +<p>
  +Je peux sélectionner une zone existante. Tout semble virer au au bleu.
  +</p>
  +
  +<p>
  +&ldquo;Buffer&rdquo;&ndash;&gt;&rdquo;Copy Selection To Buffer&rdquo; semble réussir (pas de complaintes).
  +</p>
  +
  +<p>
  +Lorsque je veux copier la zone copiée... et tout ce que j&rsquo;arrive à bouger sont un couple de pistes et quelques vias. Les pastilles qu j&rsquo;ai créé avec difficulté ne sont pas copiées. Pourquoi!?!?!?
  +</p>
  +
  +<p>
  +<strong>Réponse:</strong> Si la couche du dessus (silk layer) est désactivée, vous ne pouvez copier les éléments par le tampon copier. Bizarre, mais c&rsquo;est comme cela que cela fonctionne. Donc, validez la couche du dessus avant de copier une section de placement.
  +</p>
  +
  +</div>
  +<!-- SECTION [25762-26535] -->
  +<h2><a name="comment_je_remplis_les_zones_avec_cuivre" id="comment_je_remplis_les_zones_avec_cuivre">Comment je remplis les zones avec cuivre?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Utilisez les plans rectangle et polygone. Ces objets éviteront toujours les vias, pastilles et broches. Les pistes sont aussi évitées, si elles ont le drapeau clear polygons de configuré. (menu: Settings/Enable_new_lines_clear_polygons)
  +</p>
  +
  +</div>
  +<!-- SECTION [26536-26831] -->
  +<h2><a name="les_polygones_mettent_mes_pistes_a_la_masse_que_puis-je_y_faire" id="les_polygones_mettent_mes_pistes_a_la_masse_que_puis-je_y_faire">Les polygones mettent mes pistes à la masse! Que puis-je y faire?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Vous n&rsquo;avez pas contrôlé le «·Enable_new_lines_clear_polygons·» dans le menu settings lorsque vous avez placé les pistes. Entrez «·changejoin(selection)·» dans la fenêtre de commande pour basculer ce drapeau pour toutes les pistes qui sont actuellement sélectionnées. Le raccourci clavier pour cette action est [<strong><code>shift-j</code></strong>].
  +</p>
  +
  +</div>
  +<!-- SECTION [26832-27255] -->
  +<h2><a name="comment_je_change_le_polygon_clearance" id="comment_je_change_le_polygon_clearance">Comment je change le polygon clearance?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Pressez [<strong><code>k</code></strong>] pour augmenter la clearence de l&rsquo;objet sous le curseur. Utilisez [<strong><code>ctrl-k</code></strong>] pour augmenter la clearence des objets sélectionnés. Ajoutez le modificateur [<strong><code>shift</code></strong>] pour diminuer la clearence. Pour changer une piste complète, pressez [<strong><code>f</code></strong>] pour trouver tous les segments qui sont connectés à l&rsquo;objet sous le curseur et appliquez l&rsquo;action «·select(connection)·».
  +</p>
  +
  +<p>
  +La valeur de l&rsquo;incrément peut être configurée dans le dialogue File/Preference/Increments.
  +</p>
  +
  +</div>
  +<!-- SECTION [27256-27810] -->
  +<h2><a name="comment_je_cache_les_polygones_lorsque_j_edite_le_placement" id="comment_je_cache_les_polygones_lorsque_j_edite_le_placement">Comment je cache les polygones lorsque j'édite le placement?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Placez les polygones (et les rectangles) sur une couce séparée. Utilisez la préférence pour vous assurer que cette couche n&rsquo;est pas dans le même groupe que les pistes. Désactivez la piste en clickant sur le bouton de couche correspondant dans la fenêtre principale. Après que vous ayiez terminé avec les changements, utilisez le dialogue preference pour permettre à la couche des polygones de joindre la couche des pistes.
  +</p>
  +
  +</div>
  +<!-- SECTION [27811-28318] -->
  +<h2><a name="comment_editer_les_polygones" id="comment_editer_les_polygones">Comment éditer les polygones?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Il y a quatre manières de base pour éditer les contours des polygones. Vous pouvez déplacer et effacer les verticales et vous pouvez insérer les verticales en utilisant deux techniques. Les polygones peuvent être éditées de manière équivalente dans le mode «·thin line draw·» (settings â??&gt; enable thin line draw) ou dans le mode normal. Déplacer un sommet est facilement accompli en utilisant un-selecting your polygon puis en cliquant et tirant ce sommet dans le nouvel emplacement. Pour effacer un sommet, un coin de votre polygone, placez votre pointeur sur le point et tapez «·delete·» avec le clavier. Pour insérer un sommet, vous devez utiliser l&rsquo;outil insert (â??insertâ?? keystroke). Démarrez en cliquant sur le bord que vous voulez séparer avec un nouveau point. Cliquez et tirez le nouveau point dans le polygone. Une variation de cette technique est 1) cliquer pour sélectionner, suivi par 2) cliquer pour placer le nouveau sommet.
  +</p>
  +
  +<p>
  +(NOTE: Insérer des points dans un polygone ne fonctionnera généralement QUE avec «·all direction lines·» de validé («·settings â??&gt; enable all direction lines·»). C&rsquo;est parce PCB possède un système de contrainte de 45/90 degrés puissante. Si vous tentez d&rsquo;insérer des nouveaux sommets, dans un polygone, qui ne tombent pas sur des lignes à contraintes de 45 et 90 degrés, PCB ne permet pas cette action!)
  +</p>
  +
  +</div>
  +<!-- SECTION [28319-29752] -->
  +<h2><a name="comment_je_place_des_vias_qui_connectent_un_polygone_pour_une_dissipation_thermique_complete_ou_pour_une_integrite_de_protection_complete" id="comment_je_place_des_vias_qui_connectent_un_polygone_pour_une_dissipation_thermique_complete_ou_pour_une_integrite_de_protection_complete">Comment je place des vias qui connectent un polygone pour une dissipation thermique complète ou pour une intégrité de protection complète?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Il est souvent utile d&rsquo;avoir des vias connectés complètement à un polygone (une zone de cuivre) pour les transferts de chaleur â?? le problème apparent est que les polygones de PCB ont un seul drapeau «·clear pins/vias·» pour le polygone complet (basculé avec la touche [<strong><code>s</code></strong>]). Notre objectif est de seulement connecter quelques uns des broches/vias au polygone mais pour mieux les connecter que ne le font un des connexions thermiques. Vous avez ici quelques manières de le faire·:
  +</p>
  +
  +<p>
  +Une manière est de faire un objet qui est presque comme une connexion thermique en ce sens quelle est entre le via et le polygone â?? la différence est que vous créez réellement un anneau pour remplir complètement l&rsquo;espace entre le trou et le polygone (qui, à cause de sa clearance validée, n&rsquo;est pas connecté à la broche). Cet anneau est un arc à quatre segments. Vous pouvez copier ces quatre objets dans le tampon pour créer un «·zero-clearance thermal tool·». Le contre-coup de cette astuce est que lorsque vous changez la taille de via, vous aurez aussi à modifier la taille de ces éléments de remplissage.
  +</p>
  +
  +<p>
  +Les arcs vous permettront d&rsquo;utiliser cette astuce de remplissage dans les zones étroites en ne plaçant que deux des quatre arcs.
  +</p>
  +
  +<p>
  +Une autre astuce est de réaliser une ligne sans longueur. Prenez un seul segment de ligne et déplacez le point de fin au point de début. Vous avez maintenant une «·ligne à un seul point·» (un cercle) avec le diamètre égal à l&rsquo;épaisseur de la ligne. Faites autant de couches (touche [<strong><code>m</code></strong>]) que vous jugez nécessaire. Placez cet objet centré sur votre via pour le connecter à un polygone.
  +</p>
  +
  +<p>
  +Les utilisateurs intensifs peuvent vouloir garder une petite bibliothèque personnalisée des ces éléments en les sauvegardant comme des éléments. Il est aussi pratique de placer des «·éléments·» dans un de vos tampons PCB de telle manière qu&rsquo;ils soient à portée de main.
  +</p>
  +
  +<p>
  +Vous pouvez aussi ajouter un autre polygone par dessus le polygone sur lequel vous connecter vos vias. Vous devez désactiver le drapeau «·clear pins/vias·» et les vias seront connectés au polygon le plus grand  en dessous.
  +</p>
  +
  +</div>
  +<!-- SECTION [29753-32096] -->
  +<h2><a name="les_polygones_peuvent-ils_etre_un-masques_un_polygone_peut-il_etre_de_cuivre_sans_masque_de_soudure" id="les_polygones_peuvent-ils_etre_un-masques_un_polygone_peut-il_etre_de_cuivre_sans_masque_de_soudure">Les polygones peuvent-ils être un-masqués? (Un polygone peut-il être de cuivre sans masque de soudure?)</a></h2>
  +<div class="level2">
  +
  +<p>
  +Ce n&rsquo;est actuellement pas possible dans PCB. La manière habituelle (par exemple si vous voulez qu&rsquo;une zone nue s&rsquo;attache à une zone de dissipation ou un shielding) est de réaliser un élément qui est une pastille simple. Cette pastille doit être aussi petite que possible (0 x 0) mais avec une grand clearance. C&rsquo;est la clearance du masque de soudure de cet élément que vous allez utiliser pour dé-masquer le polygone. PCB limite en interne la taille de la pastille à 250 mils. Pour les zones plus grandes, vous aurez besoin d&rsquo;utiliser plusieurs pastilles.
  +</p>
  +
  +</div>
  +<!-- SECTION [32097-32782] -->
  +<h2><a name="comment_je_place_des_trous_de_montage_mounting_hole" id="comment_je_place_des_trous_de_montage_mounting_hole">Comment je place des trous de montage (mounting hole)?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Utilisez une empreinte pour les pour trou de montage ou placez un via.
  +</p>
  +
  +<p>
  +Si la pastille entourant le trou de montage doit être conneté électriquement, alors vous devez montrer la connexion dans votre schéma. Ajoutez un symbole pour le pour le trou de montage et changez son attribut d&rsquo;empreinte.
  +</p>
  +
  +<p>
  +Ma préférence est de créer des empreintes PCB pour les divers types d&rsquo;éléments à monter. J&rsquo;ai une variété de silkscreens pour diverses combinaisons de de matériel (hex nut, hex nut with washer, etc.). Le silkscreen fournit une référence de placement pendant le placement avec PCB.
  +</p>
  +
  +<p>
  +Pour les exemples d&rsquo;empreintes, voyez <a href="http://www.luciani.org/geda/pcb/pcb-footprint-list.html#Hardware"; class="urlextern" title="http://www.luciani.org/geda/pcb/pcb-footprint-list.html#Hardware";  rel="nofollow">http://www.luciani.org/geda/pcb/pcb-footprint-list.html#Hardware</a>.
  +</p>
  +
  +</div>
  +<!-- SECTION [32783-33551] -->
  +<h2><a name="pourquoi_est-il_possible_de_realiser_des_ponts_thermiques_pour_les_broches_mais_pas_pour_une_pastille" id="pourquoi_est-il_possible_de_realiser_des_ponts_thermiques_pour_les_broches_mais_pas_pour_une_pastille">Pourquoi est-il possible de réaliser des ponts thermiques pour les broches mais pas pour une pastille?</a></h2>
  +<div class="level2">
  +
  +<p>
  +La raison est que les broches ont habituellement suffisamment d&rsquo;espace avec le plan les entourant qui reste intact sur toutes les faces et ce n&rsquo;est pas le cas des pastilles qui sont habituellement trop serrées. � cause de ceci, vous devez dessiner manuellement les «·doigts·» de liaison thermique pour connecter la pastille au plan de masse. Assurez-vous que vous avez configuré la connexion de telles nouvelles lignes aux plans lorsque vous les dessinez. Si vous avez besoin de faire plusieurs de ces ponts thermiques, passez un peu de temps à faire le premier de la manière dont vous souhaitez puis copiez les doigts dans le tampon et collez-le si vous voulez les autres.
  +</p>
  +
  +</div>
  +<!-- SECTION [33552-34351] -->
  +<h2><a name="pcb_peut-il_etre_utilise_pour_realiser_des_cartes_a_simple_couche" id="pcb_peut-il_etre_utilise_pour_realiser_des_cartes_a_simple_couche">PCB peut-il être utilisé pour réaliser des cartes à simple couche?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Oui. Tracez vos gerbers et et enlevez toutes les couches qui ne sont pas nécessaires. Vous pouvez juste dessiner le placement comme vous le souhaitez (style libre). Si vous voulez vérifier la connectivité, vous avez deux choix:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Supposer que votre cuivre est sur le côté oppposé, utilisez le côté supérieur du placement pour y placer les pistes où seront les jumpers. C&rsquo;est probablement le plus facile. Puis, traitez le côté opposé et placez les jumpers où vous avez le côté supérieur du cuivre.</div>
  +</li>
  +<li class="level1"><div class="li"> Créez un symbole «·jumper·» dans le schéma et placez-le dans les endroits où vous avez besoin d&rsquo;un jumper. Cela peut être pénible mais vous pouvez forcer les dimensions des jumpers de cette manière si vous en avez besoin.</div>
  +</li>
  +</ol>
  +
  +<p>
  + Si vous tentez d&rsquo;utliser l&rsquo;autorouter, désactivez toutes les couches arrière lorsque vous routez et il collera à cette couche.
  +</p>
  +
  +</div>
  +<!-- SECTION [34352-35318] -->
  +<h2><a name="quelles_ressources_existent_pour_traiter_les_fichiers_pcb_en_utilisant_les_scripts" id="quelles_ressources_existent_pour_traiter_les_fichiers_pcb_en_utilisant_les_scripts">Quelles ressources existent pour traiter les fichiers PCB en utilisant les scripts?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Une des plus grande fonctionnalité de PCB est qu&rsquo;il utilise un format de fichier <acronym title="American Standard Code for Information Interchange">ASCII</acronym> facilement compréhensible. C&rsquo;est la raison pour laquelle de nombreues personnes utilisent les scripts (habituellement <acronym title="Practical Extraction and Report Language">Perl</acronym>) pour traiter leurs cartes de différentes manières. Vous pouvez utiliser ces scripts soit comme ils sont, soit en les modifiant pour les adapter à nos propres buts. Vous avez ici quelques liens vers les scripts disponibles: 
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> John Luciani possède une large éventail de <a href="http://www.luciani.org/geda/pcb/pcb-perl-library.html"; class="urlextern" title="http://www.luciani.org/geda/pcb/pcb-perl-library.html";  rel="nofollow">scripts</a> disponible sur <a href="http://www.luciani.org/"; class="urlextern" title="http://www.luciani.org";  rel="nofollow"> son site web</a>. Dans cette collection, des scripts sont inclus pour générer des empreintes, de même que </div>
  +</li>
  +<li class="level1"><div class="li"> David Rowe possède des scripts pour mettre à jour des éléments de même qu&rsquo;ajouter/suprimer des fichiers PCB les uns des autres sur <a href="http://www.rowetel.com/perl4pcb.html"; class="urlextern" title="http://www.rowetel.com/perl4pcb.html";  rel="nofollow">son site web</a>.</div>
  +</li>
  +<li class="level1"><div class="li"> Stuart Brorson a écrit un script simple qui génère des empreintes pour deux ponts thermiques passifs en SMD. Un tarball gzippé est disponible <a href="http://www.brorson.com/gEDA/Smtgen.pl.gz"; class="urlextern" title="http://www.brorson.com/gEDA/Smtgen.pl.gz";  rel="nofollow"> ici </a>.</div>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [35319-36519] -->
  +<h2><a name="comment_j_importe_des_graphiques_vectoriels_externe" id="comment_j_importe_des_graphiques_vectoriels_externe">Comment j'importe des graphiques vectoriels externe?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Il existe un utilitaire open source provenant d&rsquo;une tierce personne appelé <a href="http://www.pstoedit.net/"; class="urlextern" title="http://www.pstoedit.net/";  rel="nofollow">pstoedit</a> qui convertit des données postscript au format pcb. Il est inclus dans la plupart des distributions majeures de Linux. Vous pouvez utiliser votre graphique vectoriel préféré pour produire un logo ou toute autre type de marque amusante. Exportez-le comme eps si vous pouvez et assurez-vous que votre logo correspond à la à la boîte de remplissage (contrôlez-le avec un visualiseur postscript tel que ggv). S&rsquo;il n&rsquo;y a pas d&rsquo;export d&rsquo;eps de disponible, vous pouvez produire du postscript en l&rsquo;imprimant dans un fichier. Dans ce cas, vous pouvez ajouter une boîte de remplissage avec <a href="http://www.cs.wisc.edu/~ghost/doc/gnu/6.53/Ps2epsi.htm"; class="urlextern" title="http://www.cs.wisc.edu/~ghost/doc/gnu/6.53/Ps2epsi.htm";  rel="nofollow">ps2epsi</a>. Appelez pstoedit avec l&rsquo;option «·<code>-f pcb</code>·» pour produire un fichier pcb valide qui contient les graphiques comme piste sur une couche 1. Chargez ce fichier dans pcb. Les graphiques seront alors quelque part dans le bord bas gauche de la visualisation. Vous avoir à zommer pour l&rsquo;avoir sur l&rsquo;écran.
  +</p>
  +
  +<p>
  +L&rsquo;import de graphiques vectoriels externes est utile si un si une forme irrégulière de pcb est nécessaire. Utilisez le tampon couper pour copier la forme de votre circuit réel. 
  +</p>
  +
  +</div>
  +<!-- SECTION [36520-37846] -->
  +<h2><a name="comment_j_attache_un_nom_a_mon_circuit" id="comment_j_attache_un_nom_a_mon_circuit">Comment j'attache un nom à mon circuit?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Vous pouvez configurer le nom du pcb courant avec <code>Menu Edit - Edit name of - layout</code>. Cela configure l&rsquo;attribut de titre sur le circuit. Cet attribut est utilisé pour els actions d&rsquo;export. Il n&rsquo;interfère pas avec le nom de fichier.   
  +</p>
  +
  +</div>
  +<!-- SECTION [37847-38140] -->
  +<h1><a name="autorouteur" id="autorouteur">Autorouteur</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [38141-38166] -->
  +<h2><a name="comment_je_force_l_autorouteur_a_ne_placer_des_pistes_que_sur_une_couche_particuliere" id="comment_je_force_l_autorouteur_a_ne_placer_des_pistes_que_sur_une_couche_particuliere">Comment je force l'autorouteur à ne placer des pistes que sur une couche particulière?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Désactivez juste les couches dont vous ne voulez pas (habituellement vertes et bleues) en cliquant sur le nom de la couche puis pressez autoroute.
  +</p>
  +
  +</div>
  +<!-- SECTION [38167-38416] -->
  +<h2><a name="commennt_forcer_l_autorouteur_a_router_seulement_dans_les_limites_des_contours_du_pcb" id="commennt_forcer_l_autorouteur_a_router_seulement_dans_les_limites_des_contours_du_pcb">Commennt forcer l'autorouteur à router seulement dans les limites des contours du pcb?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Vous pouvez faire fonctionner l&rsquo;autorouteur seulement dans une zone donnée en dessinant un polygone de cuivre se conformant à votre emboîtement de circuit et placez-le dans chaque couche que vous tentez d&rsquo;autorouter. Vous pouvez aussi utiliser cette astuce pour ne seulement autorouter qu&rsquo;une petite zone. Bien sûr, si vous avez un net qui touche accidentellement le polygone, toutes les routes seront court-circuitées à ce net.
  +</p>
  +
  +</div>
  +<!-- SECTION [38417-38952] -->
  +<h2><a name="comment_je_route_les_plans_d_alimentation_et_de_masse_avec_l_autorouteur" id="comment_je_route_les_plans_d_alimentation_et_de_masse_avec_l_autorouteur">Comment je route les plans d'alimentation et de masse avec l'autorouteur?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Connectez les polygones qui deviendront vos plans d&rsquo;alimantation (et de masse) à un net et l&rsquo;autorouteur s&rsquo;occupera du reste. Vous pouvez avoir besoin de quelques astuces de clearances de polygone pour avoir le routage de l&rsquo;alimentation _et_ le routage dans les limites de la carte.
  +</p>
  +
  +</div>
  +<!-- SECTION [38953-39323] -->
  +<h2><a name="le_circuit_produit_par_l_autorouteur_est_inefficace" id="le_circuit_produit_par_l_autorouteur_est_inefficace">Le circuit produit par l'autorouteur est inefficace!</a></h2>
  +<div class="level2">
  +
  +<p>
  +Ce une limitation technologique de l&rsquo;autorouteur actuel. Il est sans grille et utilise seulement les rectangles géométriques.
  +</p>
  +
  +</div>
  +<!-- SECTION [39324-39517] -->
  +<h2><a name="le_circuit_produit_par_l_autorouteur_est_moche" id="le_circuit_produit_par_l_autorouteur_est_moche">Le circuit produit par l'autorouteur est moche!</a></h2>
  +<div class="level2">
  +
  +<p>
  +Vous êtes plus que bienvenu pour contribuer à un autorouteur topologique.
  +</p>
  +
  +</div>
  +<!-- SECTION [39518-39654] -->
  +<h1><a name="generation_gerber_et_production_de_fichiers_i_o" id="generation_gerber_et_production_de_fichiers_i_o">Génération Gerber et production de fichiers I/O</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [39655-39719] -->
  +<h2><a name="comment_je_realise_des_contours_de_carte_pour_proposer_mes_gerbers_vers_le_fabricant_de_carte" id="comment_je_realise_des_contours_de_carte_pour_proposer_mes_gerbers_vers_le_fabricant_de_carte">Comment je réalise des contours de carte pour proposer mes gerbers vers le fabricant de carte?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Vous pouvez ajouter une couche  de contour pour vos projets pcb. PCB interprète toute couche appelée «·outline·» (edit â??&gt; edit name of â??&gt; active layer) comme étant le bord absolu du pcb. PCB imprime des fichiers gerber qui représente rigidement ceci.
  +</p>
  +
  +<p>
  +Vous pouvez entrer voter couche de contour par l&rsquo;interface graphique de PCB. Vous dessinez simplement les lignes de contour de la carte. Vous pouvez générer des cartes de toute forme de cette manière.
  +</p>
  +
  +<p>
  +Il est aussi possible d&rsquo;éditer le format de fichier natif .pcb de votre circuit. J&rsquo;utilise habituellement la Couche 8 pour les contours: 
  +</p>
  +<pre class="code">Layer(8 &quot;outline&quot;)
  +(
  +	Line[x1 y1 x2 y2 1000 2000 0x00000000]
  +	Line[x2 y2 x3 y3 1000 2000 0x00000000]
  +	Line[x3 y3 x4 y4 1000 2000 0x00000000]
  +	Line[x4 y4 x1 y1 1000 2000 0x00000000]
  +	Line[&lt;more points go here for non-square boards&gt; 1000 2000 0x00000000]
  +)</pre>
  +
  +</div>
  +<!-- SECTION [39720-40703] -->
  +<h2><a name="j_ai_fini_mon_placement._comment_je_le_controle" id="j_ai_fini_mon_placement._comment_je_le_controle">J'ai fini mon placement. Comment je le contrôle?</a></h2>
  +<div class="level2">
  +<ul>
  +<li class="level1"><div class="li"> Lancez un contrôle des règles de dessin soit par l&rsquo;interface de commande (&rdquo;DRC()&rdquo;) ou depuis le menu (Connects - Design Rule Checker). Vous pouvez configurer les règles avec la section des tailles dans le dialogue preference. Les résultats du contrôle sont montrés dans la fenêtre de journal (log). </div>
  +</li>
  +</ul>
  +
  +<p>
  +avant de lancer le contrôleur de DRC, il est essentiel de contrôler vos fichiers Gerber. La Suite gEDA inclut le programme «·gerbv·» pour cette tâche. Vous avez ici quelques éléments à contrôler/vérifier:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Contrôlez que toutes les largeurs de pistes ont la taille correcte. Assurez-vous aussi que les largeurs de pistes et les séparations métal-métal sont au-dessus du minimum spécifié par votre fabricant de PCB.</div>
  +</li>
  +<li class="level1"><div class="li"> Contrôlez que tous les diamètres de trous sont à la bonne taille.</div>
  +</li>
  +<li class="level1"><div class="li"> Contrôlez que les anneaux de métal autour des trous/vias sont suffisamment larges. L&rsquo;anneau annulaire est la distance entre les bords du trou et le diamètre extérieur de la métallisation. L&rsquo;anneau annulaire doit être suffisamment large pour permettre la zone de perçage + connexion entre couches + autres anomalies de fabrication. Cette information devrait être disponible chez votre fabricant de PCB·; ils publient normalement les tailles minimum d&rsquo;anneau annulaire dans leurs documents de règles de fabrication.</div>
  +</li>
  +<li class="level1"><div class="li"> Contrôlez que vos antipads (clearance autour des trous/vias) soient suffisamment larges. Cette information doit être disponible chez votre fabricant de PCB·; demandez-leur leurs documents de règles de fabrication.</div>
  +</li>
  +<li class="level1"><div class="li"> Vérifiez qu&rsquo;aucun soldermask ou silkscreen ne se superpose avec une pastille de cuivre ou un trou passant.</div>
  +</li>
  +<li class="level1"><div class="li"> Sur les couches de plan, vérifiez qu&rsquo;au moins quelques vias s&rsquo;y connectent (oui, j&rsquo;ai vu une carte ou le plan de masse complet était flottant â?? il n&rsquo;avait pas été réalisé avec pcb, soit dit en passant)</div>
  +</li>
  +<li class="level1"><div class="li"> Sur les couches de plan, vérifiez qu&rsquo;au moins quelques vias _n&rsquo;y sont pas_ connecté.</div>
  +</li>
  +<li class="level1"><div class="li"> Effectuez une contrôle visuel d&rsquo;anomalie sur toutes les couches. Rien de détaillé, jetez juste une regard approximatif sur la manière dont cela evrait être.</div>
  +</li>
  +<li class="level1"><div class="li"> Toutes les couches sont-elles négatives/positives telles qu&rsquo;elles devraient l&rsquo;être? Notez que certains fabricants veulent seulement des couches positives. PCB en créera automatiquement des Gerbers négatives sur les couches de plans sans pistes. Si vous voulez une couche avec tous les plans en sortie comme couche positive, dessinez une piste simple quelque part dans une zone inutilisée du plan. Cela obligera PCB à faire de cette couche une couche positive.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [40704-43388] -->
  +<h1><a name="vous_n_avez_pas_repondu_a_mes_questions._ou_puis-je_trouver_d_autres_informations_sur_pcb" id="vous_n_avez_pas_repondu_a_mes_questions._ou_puis-je_trouver_d_autres_informations_sur_pcb">Vous n'avez pas répondu à mes questions. Où puis-je trouver d'autres informations sur PCB?</a></h1>
  +<div class="level1">
  +
  +<p>
  +<a href="http://www.luciani.org/geda/pcb/faq-pcb-footprint.html"; class="urlextern" title="http://www.luciani.org/geda/pcb/faq-pcb-footprint.html";  rel="nofollow">http://www.luciani.org/geda/pcb/faq-pcb-footprint.html</a><br/>
  + <a href="http://pcb.sourceforge.net/faq.html"; class="urlextern" title="http://pcb.sourceforge.net/faq.html";  rel="nofollow">http://pcb.sourceforge.net/faq.html</a><br/>
  + <a href="http://pcb.sourceforge.net/pcb-20060822/pcb.html#Top"; class="urlextern" title="http://pcb.sourceforge.net/pcb-20060822/pcb.html#Top";  rel="nofollow">http://pcb.sourceforge.net/pcb-20060822/pcb.html#Top</a>
  +</p>
  +
  +<p>
  +Vous pouvez avoir des réponses plus rapides avec la liste des geda-user. Si vous n&rsquo;avez trouvé une réponse à votre question à propos de PCB sur cette page ou dans les autres documentations, postez alors sur la liste! Notez que vous devez y souscrire avant de pouvoir y écrire. Les listes de gEDA et leurs archives sont sur: <a href="http://geda.seul.org/mailinglist/index.html"; class="urlextern" title="http://geda.seul.org/mailinglist/index.html";  rel="nofollow">http://geda.seul.org/mailinglist/index.html</a> 
  +</p>
  +
  +</div>
  +<!-- SECTION [43389-] --></div>
   </body>
   </html>
  
  
  
  1.1.6.1   +593 -1    eda/geda/gaf/docs/wiki/001geda_scg.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_scg.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_scg.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- 001geda_scg.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_scg.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,12 +12,604 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:scg.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:scg.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-12-05T12:55:57-0500" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +<div class="toc">
  +<div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  +<div id="toc__inside">
  +
  +<ul class="toc">
  +<li class="level1"><div class="li"><span class="li"><a href="#geda_gaf_symbol_creation_document" class="toc">gEDA/gaf Symbol Creation Document</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#overview" class="toc">Overview</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#component_symbol_creation" class="toc">Component symbol creation</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#requirements" class="toc">Requirements</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#style" class="toc">Style</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#text" class="toc">Text</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#attributes" class="toc">Attributes</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#graphics" class="toc">Graphics</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#pins" class="toc">Pins</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#electrical" class="toc">Electrical</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#footprint_naming_conventions" class="toc">Footprint naming conventions</a></span></div>
  +<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#notes" class="toc">Notes</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#integrated_circuit_packages" class="toc">Integrated circuit packages</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#integrated_circuit_smt_packages" class="toc">Integrated circuit SMT packages</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#basic_semiconductors" class="toc">Basic semiconductors</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#basic_smt_semiconductors" class="toc">Basic SMT semiconductors</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#passive_components" class="toc">Passive components</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#passive_smt_components" class="toc">Passive SMT components</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#hints_and_tips" class="toc">Hints and Tips</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#example" class="toc">Example</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#document_revision_history" class="toc">Document Revision History</a></span></div></li></ul>
  +</li></ul>
   </div>
  +</div>
  +
  +<h1><a name="geda_gaf_symbol_creation_document" id="geda_gaf_symbol_creation_document">gEDA/gaf Symbol Creation Document</a></h1>
  +<div class="level1">
  +
  +<p>
  +by: Ales V. Hvezda / July 6th, 2004
  +</p>
  +
  +<p>
  +The latest version of this document may be found at: <a href="http://geda.seul.org/wiki/geda:scg"; class="urlextern" title="http://geda.seul.org/wiki/geda:scg";  rel="nofollow">http://geda.seul.org/wiki/geda:scg</a>
  +</p>
  +
  +<p>
  +This document is released under the <a href="http://geda.seul.org/wiki/geda:gfdl"; class="wikilink1" title="geda:gfdl">GNU Free Documentation License (GFDL)</a>.
  +</p>
  +
  +</div>
  +<!-- SECTION [1-264] -->
  +<h2><a name="overview" id="overview">Overview</a></h2>
  +<div class="level2">
  +
  +<p>
  +This document describes the creation of component symbols, including style conventions, and hints/tips and things to look out for when drawing symbols for the gEDA/gaf system.
  +</p>
  +
  +</div>
  +<!-- SECTION [265-462] -->
  +<h2><a name="component_symbol_creation" id="component_symbol_creation">Component symbol creation</a></h2>
  +<div class="level2">
  +
  +<p>
  +Component symbols (from here on known as &ldquo;symbols&rdquo;) are drawn using gschem just like drawing a schematic sheet. Here are the steps in a symbol in the gEDA/gaf system:<br/>
  + 1. Run gschem and find a blank page or run: gschem filename-1.sym<br/>
  + 2. Draw the symbol (see the style guide below for some conventions).<br/>
  + 3. Translate the symbol to the origin using Edit/Symbol Translate...<br/>
  +
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Zoom in at least one step.</div>
  +</li>
  +<li class="level1"><div class="li"> Make sure the snap is ON (this is critical).</div>
  +</li>
  +<li class="level1"><div class="li"> Make sure grid snap size is set to 100 (this is critical).</div>
  +</li>
  +<li class="level1"><div class="li"> Select &ldquo;Symbol Translate...&rdquo; or the press equivalent hotkey.</div>
  +</li>
  +<li class="level1"><div class="li"> Enter 0 into the entry field and press OK.<br/>
  +</div>
  +</li>
  +</ul>
  +
  +<p>
  +Translating the symbol to the origin is a required step. To translate a symbol elsewhere, enter a offset (in mils) which is a even multiple of 100. Make sure all pins are snapped to a 100 mil grid point.
  +</p>
  +
  +<p>
  +4. Save the symbol using Save or SaveAs... Here are some symbol naming conventions:<br/>
  +
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Symbols are named: symbolname-#.sym</div>
  +</li>
  +<li class="level1"><div class="li"> Symbols end with a .sym extension.</div>
  +</li>
  +<li class="level1"><div class="li"> Symbols have a -# where # is a number. Typically # is 1 but if there are multiple symbols for a device then this number simply increments.</div>
  +</li>
  +<li class="level1"><div class="li"> Symbol names are typically lowercase but letters which are part of a part number are uppercase.</div>
  +</li>
  +<li class="level1"><div class="li"> The above case rule can be broken if the filename looks incorrect or wrong.</div>
  +</li>
  +</ul>
  +
  +<p>
  + 5. Place the symbol in one of the directories specified by the componentlibrary keyword in the system-gafrc file. Once this is done, the symbol should be visible immediately and can be selected and placed with the &ldquo;Add/Select Component...&rdquo; menu item.
  +</p>
  +
  +</div>
  +<!-- SECTION [463-2082] -->
  +<h2><a name="requirements" id="requirements">Requirements</a></h2>
  +<div class="level2">
  +
  +<p>
  +This section describes the various requirements which must be met in order to create a valid symbol which will display and netlist in the gEDA/gaf system. Most of the requirements center around having certain attributes attached or inside the symbol.<br/>
  + Running gsymcheck will check that all of these requirements are met. gsymcheck will output fatal errors which are quite serious and must be corrected. gsymcheck will also output warnings on things which should be fixed but are not fatal.<br/>
  + For more information on the attributes presented here, please see the Master Attribute Document.
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> device=DEVICENAME should be placed somewhere in the symbol and made invisible. device= is the device name and is required. Typically the devicename is in all caps (capital letters). This attribute should not be used as a label. Use a separate text object for the label. If the object is a graphic then device= should be set to none (device=none). It is no longer required to attach this attribute anything; just having it exist as device=DEVICENAME is good enough.</div>
  +</li>
  +<li class="level1"><div class="li"> graphical=1 should exist somewhere in a symbol which is purely graphical (such as a title block or decon symbol). Symbols which have this attribute have no electrical or circuit significance. Don&rsquo;t forget to set device=none.</div>
  +</li>
  +<li class="level1"><div class="li"> description=text should exist somewhere in the symbol. This attribute provides an one line description of the symbol.</div>
  +</li>
  +<li class="level1"><div class="li"> All pins should have a pair of attributes attached to them: pinseq=# and pinnumber=#. The first attribute, pinseq=# is just a sequence number and increments sequentially starting at 1. The second attribute pinnumber=# is the number of the pin. When a symbol is netlisted, the pin numbers are output in order of pin sequence. The pin number can be alphanumeric (i.e. like E or C).</div>
  +</li>
  +<li class="level1"><div class="li"> All pins should also have pinlabel=value attached to them. This attribute is the name or label of the pin (vs the pin number). This attribute is also used when a symbol is used in a hierarchical schematic. Please make this attribute green (instead of the default attribute yellow).</div>
  +</li>
  +<li class="level1"><div class="li"> All pins should also have pintype=value attached to them. This attribute describes the kind of a pin. Possible values are: in, out, io, oc, oe, pas, tp, tri, clk, pwr. Please see the Master Attribute Document for more info.</div>
  +</li>
  +<li class="level1"><div class="li"> If a component has multiple slots in a package (such as a 7400 (NAND) which has 4 NANDs per package) then you need a numslots=# attribute. The # is the number of slots the device has. numslots= should be exist somewhere in the symbol and made invisible. Additional slot related required attributes are described below.</div>
  +</li>
  +<li class="level1"><div class="li"> If a component has multiple slots in a physical package then you also need to include a slotdef=#:#,#,#... for every slot. The first # corresponds to the slot number. If a device has 4 slots then there should be slotdef=1:..., slotdef=2:..., slotdef=3:..., and slotdef=4:..., attributes existing somewhere in the symbol and made invisible. The subsequent # have a one-to-one correspondence to pinseq=# attributes and specify what corresponding pinnumber=# should be when that slot is set. See The attached 7400-1.sym as an example of how this should all work.</div>
  +</li>
  +<li class="level1"><div class="li"> It is recommended that all symbols which have slots have a slot=1 attribute inside the symbol.</div>
  +</li>
  +<li class="level1"><div class="li"> footprint=PACKAGENAME should exist somewhere in the symbol which might be used with the PCB netlister. PACKAGENAME is the PCB footprint or package type like DIP14 or DIP40. Please see the Footprint naming conventions chapter for further detail. See also the PCB documentation and gnetlist/docs/README.pcb for more info on this attribute.</div>
  +</li>
  +<li class="level1"><div class="li"> You should put a refdes=U? attribute inside the symbol. Make only the value visible and it will be promoted (attached to the outside of the symbol (so it can be edited) when the symbol is placed in a schematic.</div>
  +</li>
  +<li class="level1"><div class="li"> The label= attribute should not be attached anywhere in the symbol. It is obsolete.</div>
  +</li>
  +<li class="level1"><div class="li"> The name= attribute should not be attached anywhere in the symbol.</div>
  +</li>
  +<li class="level1"><div class="li"> The netname= attribute should not be attached anywhere in the symbol. It is only used in schematics.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [2083-6223] -->
  +<h2><a name="style" id="style">Style</a></h2>
  +<div class="level2">
  +
  +<p>
  +This section describes the style in which is used in the standard gEDA/gaf symbol library.
  +</p>
  +
  +</div>
  +<!-- SECTION [6224-6333] -->
  +<h3><a name="text" id="text">Text</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> All Text labels should all be 10 pt in size.</div>
  +</li>
  +<li class="level1"><div class="li"> Text (labels not attributes) should be color number 9 (text | green).</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [6334-6472] -->
  +<h3><a name="attributes" id="attributes">Attributes</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> Pin numbers (which are attributes) should all be 8 pt in size.</div>
  +</li>
  +<li class="level1"><div class="li"> Attached attributes should be yellow. The color is set automatically to yellow if the text item is attached.</div>
  +</li>
  +<li class="level1"><div class="li"> The only exception to this is pinlabel= attributes, those should be color number 9 (text | green). If every text item within a symbol is yellow, the symbol looks too yellow.</div>
  +</li>
  +<li class="level1"><div class="li"> Attributes can be attached to some part of the symbol. Toplevel attributes (like the device= or net= attributes) used to be required to be attached to something to be attributes, but now they just have to exist in the symbol file as name=value.</div>
  +</li>
  +<li class="level1"><div class="li">  Expanding a bit on the last sentence, as long as the text item has the format name=value, it is considered an attribute. Attributes inside a symbol do not have to be attached to anything. In order to see hidden attributes in gschem select Edit/Show/Hide Inv Text.</div>
  +</li>
  +<li class="level1"><div class="li"> There is a symbol content versioning system in libgeda which is based on the symversion= attribute. Please see the Master Attribute Document for more information on using this versioning scheme.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [6473-7569] -->
  +<h3><a name="graphics" id="graphics">Graphics</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> Lines, boxes, arcs, and any other graphics should be color number 3 (graphic | green).</div>
  +</li>
  +<li class="level1"><div class="li"> Polarity bubbles should be color number 6 (logic bubble | cyan)</div>
  +</li>
  +<li class="level1"><div class="li"> If you are unsure on how to make a new symbol look or how big to make a new symbol, look at the existing symbols to get a feel for the appropriate appearance and size.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [7570-7920] -->
  +<h3><a name="pins" id="pins">Pins</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> Pins should all be 300 mils (3 grid spaces) long.</div>
  +</li>
  +<li class="level1"><div class="li"> For pins which are next to a logic bubble, make the pins 200 mils (2 grid spaces) long and then make the logic bubble 100 mils in diameter. In order to draw a 100 mil diameter circle, you will need to change the snap spacing to 50.</div>
  +</li>
  +<li class="level1"><div class="li"> A pin has two ends: one end has a red endpoint and one end that does not. The red endpoint is where nets can be connected. You can either rotate the pin so that this active end is in the right place or manually edit the symbol file changing the &ldquo;whichend&rdquo; parameter on the pin object. See the File Format document for more info.</div>
  +</li>
  +<li class="level1"><div class="li"> Be that all endpoints of pins which are meant to be connected to are on the 100 mil grid. The endpoint which is not active can be off the grid if necessary.</div>
  +</li>
  +<li class="level1"><div class="li"> Pins should be color number 1 (pins | white).</div>
  +</li>
  +<li class="level1"><div class="li"> Leave 400 mils (4 grid spaces) between (vertically) pins, unless you are drawing a special symbol, then just try to make it look good.</div>
  +</li>
  +<li class="level1"><div class="li"> Pin number attributes should be 50 mils above (or below; which ever makes the most sense) the pin which they are attached to.</div>
  +</li>
  +<li class="level1"><div class="li"> Input pins belong on the left and output pins belong on the right of the symbol.</div>
  +</li>
  +<li class="level1"><div class="li"> Please do not mix inputs and outputs on the same side of the symbol, unless absolutely necessary.</div>
  +</li>
  +<li class="level1"><div class="li"> You can have pins on the top or bottom of a symbol.</div>
  +</li>
  +<li class="level1"><div class="li"> The order for rows of pins (buses) should be LSB (least significant bit) to MSB (most significant bit). When drawing pins which are part of a bus, make sure the LSB of the bus is at the top (or for pins on top/bottom of a symbol, left of the rest of the other pins). Look at 74/74181-1.sym for a correct example of this order (A0 on top through A3 and B0 on top through B3). Violating this rule will make connecting buses much more diffcult.</div>
  +</li>
  +<li class="level1"><div class="li"> When placing pins on logic gates, be sure to place the smallest pin numbers toward the top (or left) and then increment going down (or across).</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [7921-9876] -->
  +<h3><a name="electrical" id="electrical">Electrical</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> Do not draw power and ground pins. That information will be conveyed using attributes (see the netattrib document).</div>
  +</li>
  +<li class="level1"><div class="li"> The above rule can be broken if necessary, but keep in mind most of the standard library does not have power pins showing.</div>
  +</li>
  +<li class="level1"><div class="li"> Keep in mind, symbols are supposed to be symbolic, they do not represent the physical package that the device comes in.</div>
  +</li>
  +<li class="level1"><div class="li"> There is some disagreement on above, so this is okay too: Arrange the pins on a symbol logically so that they promote an uncluttered schematic. Note that this is frequently not the same pin arrangement as the physical device.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [9877-10499] -->
  +<h2><a name="footprint_naming_conventions" id="footprint_naming_conventions">Footprint naming conventions</a></h2>
  +<div class="level2">
  +
  +<p>
  +This section describes the conventions for naming of footprints used in gEDA/gaf.<br/>
  + The purpose of the naming convention is to establish a standard to maintain the same naming convention through the different phases of the CAD chain. This helps in ensuring that the collaborative effort of gEDA/gaf is not lost.
  +</p>
  +
  +</div>
  +<!-- SECTION [10500-10853] -->
  +<h3><a name="notes" id="notes">Notes</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> Unless otherwise noted, numerical pin names will be used, starting from 1.</div>
  +</li>
  +<li class="level1"><div class="li"> n is for the pin count.</div>
  +</li>
  +<li class="level1"><div class="li"> m is for the pin spacing in mils.</div>
  +</li>
  +<li class="level1"><div class="li"> x is for the x dimension of the package (excluding pins). In particular this is used for the QFP package family.</div>
  +</li>
  +<li class="level1"><div class="li"> SMT means surface mount, other components are through-hole.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [10854-11196] -->
  +<h3><a name="integrated_circuit_packages" id="integrated_circuit_packages">Integrated circuit packages</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> Dual in line packages with up to 22 100 mil spaced pins and 300 mil row spacing are called DIPn.</div>
  +</li>
  +<li class="level1"><div class="li"> Dual in line packages with 24 or more 100 mil spaced pins and 300 mil row spacing are called DIPnN.</div>
  +</li>
  +<li class="level1"><div class="li"> Dual in line packages with 100 mil spaced pins and 400 mil row spacing are called DIPnH.</div>
  +</li>
  +<li class="level1"><div class="li"> Dual in line packages with 24 or more 100 mil spaced pins and 600 mil row spacing are called DIPn.</div>
  +</li>
  +<li class="level1"><div class="li"> Shrink dual in line packages with up to 24 70 mil spaced pins and 300 mil row spacing are called SDIPn.</div>
  +</li>
  +<li class="level1"><div class="li"> Shrink dual in line packages with more than 24 70 mil spaced pins and 400 mil row spacing are called SDIPn.</div>
  +</li>
  +<li class="level1"><div class="li"> Single in line packages with 100 mil spaced pins are called SIPnN. See also JUMPER, below.</div>
  +</li>
  +<li class="level1"><div class="li"> Zig-zag in-line package are called ZIPn.</div>
  +</li>
  +<li class="level1"><div class="li"> Plastic leadless chip carrier with pin socket are called PLCCnX.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [11197-12065] -->
  +<h3><a name="integrated_circuit_smt_packages" id="integrated_circuit_smt_packages">Integrated circuit SMT packages</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> Small outline SMT packages with up to 16 50 mil spaced pins and 150 mil total width are called SOn.</div>
  +</li>
  +<li class="level1"><div class="li"> Small outline SMT packages with more than 16 50 mil spaced pins and 150 mil total width are called SOnN.</div>
  +</li>
  +<li class="level1"><div class="li"> Small outline SMT packages with 50 mil spaced pins and 200 mil total width are called SOnM.</div>
  +</li>
  +<li class="level1"><div class="li"> Small outline SMT packages with up to 20 50 mil spaced pins and 300 mil total width are called SOnW.</div>
  +</li>
  +<li class="level1"><div class="li"> Small outline SMT packages with more than 20 50 mil spaced pins and 300 mil total width are called SOn.</div>
  +</li>
  +<li class="level1"><div class="li"> Small outline SMT packages with 44 or more 50 mil spaced pins and 525 mil total width are called SOn.</div>
  +</li>
  +<li class="level1"><div class="li"> Metric shrink small outline SMT packages with 0.65 mm spaced pins and 323 mil total width are called MSSOPn. NOTE: To be confirmed.</div>
  +</li>
  +<li class="level1"><div class="li"> Metric shrink small outline SMT packages with up to 44 0.65 mm spaced pins and 420 mil total width are called MSSOPnW.</div>
  +</li>
  +<li class="level1"><div class="li"> Metric shrink small outline SMT packages with over 44 0.65 mm spaced pins and 545 mil total width are called MSSOPnW.</div>
  +</li>
  +<li class="level1"><div class="li"> Shrink small outline SMT packages with 25 mil spaced pins and 420 mil total width are called SSOPnW.</div>
  +</li>
  +<li class="level1"><div class="li"> Quarter size small outline SMT packages with 25 mil spaced pins and 244 mil total width are called SSOPn.</div>
  +</li>
  +<li class="level1"><div class="li"> Thin small outline SMT packages with 21.65 mil spaced pins and 535 mil total width are called TSOPn.</div>
  +</li>
  +<li class="level1"><div class="li"> Thin small outline SMT packages with 20 mil spaced pins and 795 mil total width are called TSOPnA.</div>
  +</li>
  +<li class="level1"><div class="li"> Thin small outline SMT packages with 20 mil spaced pins and 559 mil total width are called TSOPnB.</div>
  +</li>
  +<li class="level1"><div class="li"> Thin shrink small outline SMT packages with up to 28 26 mil spaced pins and 260 mil total width are called TSSOPn.</div>
  +</li>
  +<li class="level1"><div class="li"> Thin shrink small outline SMT packages with over 28 20 mil spaced pins and 319 mil total width are called TSSOPn.</div>
  +</li>
  +<li class="level1"><div class="li"> Ultra Super Mini SMT packages with up to 16 0.5 mm spaced pins are called USn.</div>
  +</li>
  +<li class="level1"><div class="li"> Plastic leadless chip carrier SMT are called PLCCn.</div>
  +</li>
  +<li class="level1"><div class="li"> Square quad-side at pack SMT are called QFPn x.</div>
  +</li>
  +<li class="level1"><div class="li"> Rectangular quad-side at pack SMT are called QFPn R.</div>
  +</li>
  +<li class="level1"><div class="li"> Square low profile quad-side at pack SMT are called LQFPn x.</div>
  +</li>
  +<li class="level1"><div class="li"> Square thin quad-side at pack SMT are called TQFPn x.</div>
  +</li>
  +<li class="level1"><div class="li"> Square Quad-side at no-lead SMT without exposed paddle (back side contact) are called QFNn x. Pin count is n and package size is x mm.</div>
  +</li>
  +<li class="level1"><div class="li"> Square Quad-side at no-lead SMT with exposed paddle (back side contact) are called QFNn x EP. Pin count is n and package size is x mm.</div>
  +</li>
  +<li class="level1"><div class="li"> Thin profile square Quad-side at no-lead SMT without exposed paddle (back side contact) are called TQFNn x. Pin count is n and package size is x mm.</div>
  +</li>
  +<li class="level1"><div class="li"> Thin profile square Quad-side at no-lead SMT with exposed paddle (back side contact) are called TQFNn x EP. Pin count is n and package size is x mm.</div>
  +</li>
  +<li class="level1"><div class="li"> Dual in line style crystal oscillators are OSC8 and OSC14.</div>
  +</li>
  +<li class="level1"><div class="li"> 5 pin SOT SMT packages are SOT25 and SOT325.</div>
  +</li>
  +<li class="level1"><div class="li"> 6 pin SOT SMT packages are SOT26 and SOT326.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [12066-14996] -->
  +<h3><a name="basic_semiconductors" id="basic_semiconductors">Basic semiconductors</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> Axial diodes are called ALFm. Pin 1 is the cathode.</div>
  +</li>
  +<li class="level1"><div class="li"> Conventional through hole LED is LED3 and LED5 for 3 and 5 mm respectively. Pin 1 is plus. NOTE: Should probably be changed to be in line with diode convention.</div>
  +</li>
  +<li class="level1"><div class="li"> TO transistors are TO5, TO92, TO126, TO220 etc. Suxes may apply, e.g. TO126W is for wide, TO126S is for standing, TO126SW is for standing, wide.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [14997-15399] -->
  +<h3><a name="basic_smt_semiconductors" id="basic_smt_semiconductors">Basic SMT semiconductors</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> SOD diode SMT packages use their standard package name, e.g. SOD80, SOD87, SOD106A, SOD110. There are also SOD123, SOD323 with narrow pads.</div>
  +</li>
  +<li class="level1"><div class="li"> SOT transistor SMT packages use their standard package name, e.g. SOT23, SOT323. There is also an SC90.</div>
  +</li>
  +<li class="level1"><div class="li"> SOT transistor SMT packages with numbering as for diodes (pin 1 is cathode, pin 2 anode) are SOT23D, SOT323D.</div>
  +</li>
  +<li class="level1"><div class="li"> 4 pin SOT SMT packages are SOT89, SOT143, SOT223.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [15400-15855] -->
  +<h3><a name="passive_components" id="passive_components">Passive components</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> Axial non-polar components (typically resistor, capacitor) are called ACYm.</div>
  +</li>
  +<li class="level1"><div class="li"> Bottom lead (radial) non-polar circular component (typically capacitor) is RCYm.</div>
  +</li>
  +<li class="level1"><div class="li"> Bottom lead non-polar rectangular component (typically capacitor) is BREm.</div>
  +</li>
  +<li class="level1"><div class="li"> A standard crystal is HC49, or other HC designations as required.</div>
  +</li>
  +<li class="level1"><div class="li"> Single row 100 mil pin spacing jumpers are JUMPERn. The main difference compared to single in line package is the hole size.</div>
  +</li>
  +<li class="level1"><div class="li"> Dual row 100 mil spacing headers with DIP pin numbering are HEADERn 1. Note that n is an even number.</div>
  +</li>
  +<li class="level1"><div class="li"> Dual row 100 mil spacing headers with ribbon cable numbering are HEADERn 2. Note that n is an even number.</div>
  +</li>
  +<li class="level1"><div class="li"> Angled full header connectors with latches are DIN41651 n.</div>
  +</li>
  +<li class="level1"><div class="li"> Standing full header connectors with latches are DIN41651 nS.</div>
  +</li>
  +<li class="level1"><div class="li"> DSUB connectors female are DBnF.</div>
  +</li>
  +<li class="level1"><div class="li"> DSUB connectors male are DBnM.</div>
  +</li>
  +<li class="level1"><div class="li"> Female DIN card-to-card connectors are DIN41612CnF. Add S suffix for standing.</div>
  +</li>
  +<li class="level1"><div class="li"> Male DIN card-to-card connectors are DIN41612CnM. Add S suffix for standing.</div>
  +</li>
  +<li class="level1"><div class="li"> AMP modular RJ connectors with screen are RJ11, RJ12 and RJ45.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [15856-16977] -->
  +<h3><a name="passive_smt_components" id="passive_smt_components">Passive SMT components</a></h3>
  +<div class="level3">
  +<ul>
  +<li class="level1"><div class="li"> Standard SMT resistors, inductors, capacitors etc are 0201, 0402, 0603, 0805, 1206, 1210, 1806, 1812, 1825, 2020, 2706.</div>
  +</li>
  +<li class="level1"><div class="li"> Tantalum SMT capacitors are EIA3216, EIA3528, EIA6032, and EIA7343. Pin 1 is plus.</div>
  +</li>
  +<li class="level1"><div class="li"> SMT electrolytics are designated by can diameter in 1/10 mm: SME33, SME43, SME53, SME66, SME84, SME104.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [16978-17330] -->
  +<h2><a name="hints_and_tips" id="hints_and_tips">Hints and Tips</a></h2>
  +<div class="level2">
  +
  +<p>
  +This section describes some hints and tips which will make your symbol creation experience easier.<br/>
  +
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Avoid drawing things off of the grid. If you do, you cannot move the object(s) using the move command (if the grid is on) since the object will be snapped to the grid. [This was an old bug, which I think has been fixed, but avoid doing this anyway]. Use the symbol translate command instead (or move the object with grid snap off)</div>
  +</li>
  +<li class="level1"><div class="li"> If you need a finer grid then use Options/Snap Grid Spacing... to set a finer grid snap spacing. Just remember to set this back to 100 once you are ready to translate the symbol to the origin.</div>
  +</li>
  +<li class="level1"><div class="li"> If you want to translate a symbol from the origin to elsewhere, then use the &ldquo;Symbol translate&rdquo; command and enter a non zero number. Make sure this number is a multiple of 100 (ie 1000, or 1100).</div>
  +</li>
  +<li class="level1"><div class="li"> Pins MUST be snapped on the 100 spaced grid (at least the end which will have nets connected to it).</div>
  +</li>
  +<li class="level1"><div class="li"> Pins MUST be snapped on the 100 spaced grid (at least the end which will have nets connected to it). Yes this is line a duplicate. I can&rsquo;t stress this point enough.</div>
  +</li>
  +<li class="level1"><div class="li"> Remember that pins are special objects; if you want to add a pin, make sure it is a pin and not a line or net. Use the Add/Pin command to place a pin.</div>
  +</li>
  +<li class="level1"><div class="li"> Don&rsquo;t include nets or buses inside symbols. That is not supported and doesn&rsquo;t make much sense anyway.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [17331-18726] -->
  +<h2><a name="example" id="example">Example</a></h2>
  +<div class="level2">
  +
  +<p>
  +This section provides a simple example which tries to follow all of the above rules. This symbol is of a 7400 (NAND gate).<br/>
  +
  +</p>
  +
  +<p>
  +<pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">v 20031231 1
  +L 300 200 300 800 3 0 0 0 -1 -1
  +T 300 0 9 8 1 0 0 0 1
  +7400
  +L 300 800 700 800 3 0 0 0 -1 -1
  +T 500 900 5 10 0 0 0 0 1
  +device=7400
  +T 500 1100 5 10 0 0 0 0 1
  +slot=1
  +T 500 1300 5 10 0 0 0 0 1
  +numslots=4
  +T 500 1500 5 10 0 0 0 0 1
  +slotdef=1:1,2,3
  +T 500 1700 5 10 0 0 0 0 1
  +slotdef=2:4,5,6
  +T 500 1900 5 10 0 0 0 0 1
  +slotdef=3:9,10,8
  +T 500 2100 5 10 0 0 0 0 1
  +slotdef=4:12,13,11
  +L 300 200 700 200 3 0 0 0 -1 -1
  +A 700 500 300 270 180 3 0 0 0 -1 -1
  +V 1050 500 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
  +P 1100 500 1300 500 1 0 1
  +{
  +T 1100 550 5 8 1 1 0 0 1
  +pinnumber=3
  +T 1100 450 5 8 0 1 0 2 1
  +pinseq=3
  +T 950 500 9 8 0 1 0 6 1
  +pinlabel=Y
  +T 950 500 5 8 0 1 0 8 1
  +pintype=out
  +}
  +P 300 300 0 300 1 0 1
  +{
  +T 200 350 5 8 1 1 0 6 1
  +pinnumber=2
  +T 200 250 5 8 0 1 0 8 1
  +pinseq=2
  +T 350 300 9 8 0 1 0 0 1
  +pinlabel=B
  +T 350 300 5 8 0 1 0 2 1
  +pintype=in
  +}
  +P 300 700 0 700 1 0 1
  +{
  +T 200 750 5 8 1 1 0 6 1
  +pinnumber=1
  +T 200 650 5 8 0 1 0 8 1
  +pinseq=1
  +T 350 700 9 8 0 1 0 0 1
  +pinlabel=A
  +T 350 700 5 8 0 1 0 2 1
  +pintype=in
  +}
  +T 300 900 8 10 1 1 0 0 1
  +refdes=U?
  +T 500 2250 5 10 0 0 0 0 1
  +footprint=DIP14
  +T 500 2450 5 10 0 0 0 0 1
  +description=4 NAND gates with 2 inputs
  +T 500 2850 5 10 0 0 0 0 1
  +net=Vcc:14
  +T 500 3050 5 10 0 0 0 0 1
  +net=GND:7
  +T 500 2650 5 10 0 0 0 0 1
  +documentation=http://www-s.ti.com/sc/ds/sn74hc00.pdf
  +</font></pre>
  +</p>
  +
  +<p>
  +This example produces the following (using gschem):
  +</p>
  +
  +<p>
  +<a href="_detail/001geda_symbol_7400-1_hidden.html" class="media" title="geda:symbol_7400-1_hidden.png"><img src="http://geda.seul.org/wiki/_media/geda:symbol_7400-1_hidden.png?w=&h=&cache=cache"; class="media" title=":geda:symbol_7400-1_hidden.png" alt=":geda:symbol_7400-1_hidden.png" /></a>
  +</p>
  +
  +<p>
  +This is the same symbol with all the hidden text visible (via Edit/Show/Hide Inv Text):
  +</p>
  +
  +<p>
  +<a href="_detail/001geda_symbol_7400-1.html" class="media" title="geda:symbol_7400-1.png"><img src="http://geda.seul.org/wiki/_media/geda:symbol_7400-1.png?w=&h=&cache=cache"; class="media" title=":geda:symbol_7400-1.png" alt=":geda:symbol_7400-1.png" /></a>
  +</p>
  +
  +</div>
  +<!-- SECTION [18727-20443] -->
  +<h2><a name="document_revision_history" id="document_revision_history">Document Revision History</a></h2>
  +<div class="level2">
  +<table class="inline">
  +	<tr>
  +		<td> September 14th, 2002</td><td>Created symbol.tex from symbols.html </td>
  +	</tr>
  +	<tr>
  +		<td> October 31st, 2002</td><td>Fixed bad example symbol </td>
  +	</tr>
  +	<tr>
  +		<td> February 11th, 2003</td><td>Footprint naming conventions added </td>
  +	</tr>
  +	<tr>
  +		<td> September 27th, 2003</td><td>Applied Dan McMahill&rsquo;s QFP and QFN patch </td>
  +	</tr>
  +	<tr>
  +		<td> July 6th, 2004</td><td>Added a bunch more details/hints to the pin section </td>
  +	</tr>
  +</table>
  +<br />
  +
  +</div>
  +<!-- SECTION [20444-] --></div>
   </body>
   </html>
  
  
  
  1.5.6.1   +2 -2      eda/geda/gaf/docs/wiki/Makefile.am
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: Makefile.am
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/Makefile.am,v
  retrieving revision 1.5
  retrieving revision 1.5.6.1
  diff -u -b -r1.5 -r1.5.6.1
  --- Makefile.am	21 Oct 2006 04:14:28 -0000	1.5
  +++ Makefile.am	23 Feb 2007 23:32:02 -0000	1.5.6.1
  @@ -3,9 +3,9 @@
   docname=wiki
   docsdir = @GEDADOCDIR@/$(docname)/
   
  -SUBDIRS = _detail lib _media 
  +SUBDIRS = _detail _media lib 
   
  -docs_DATA = 001geda_documentation.html 001geda_faq-attribs.html 001geda_faq-gnetlist.html 001geda_faq-gsch2pcb.html 001geda_faq-gschem.html 001geda_faq-simulation.html 001geda_faq.html 001geda_glossary.html 001geda_gschem_mp.html 001geda_gschem_ug.html 001geda_hse_howto.html 001geda_installation.html 001geda_kig_howto.html 001geda_pcb-quick_reference.html 001geda_pcb_tips.html 001geda_scg.html 001geda_suse_10.html 001geda_tasks.html 001geda_todos.html 001geda_usage.html docs_20060124_gschem_ug_app_a.html docs_20060124_gschem_ug_app_b.html docs_20060124_gschem_ug_app_c.html docs_20060124_gschem_ug_components_symbols_objects_attributes.html docs_20060124_gschem_ug_electrical_connectivity.html docs_20060124_gschem_ug_how_to_ask_questions.html docs_20060124_gschem_ug_installing_gschem.html docs_20060124_gschem_ug_resources.html docs_20060124_gschem_ug_the_main_window.html docs_20060124_gschem_ug_the_status_window.html geda_bom_readme.html geda_covered_mp.html geda_covered_rv.html geda_csygas.html geda_cygwin.html geda_debian.html geda_documentation.html geda_eagle_pcb_netlister_readme.html geda_example_hsm.html geda_example_usbjtag.html geda_faq-attribs.html geda_faq-gnetlist.html geda_faq-gsch2pcb.html geda_faq-gschem.html geda_faq-simulation.html geda_faq.html geda_fbabgapp.html geda_fc1.html geda_fc2.html geda_fc3.html geda_fc4.html geda_file_format_spec.html geda_footprint_creation.html geda_gattrib_readme.html geda_gerbv_mp.html geda_gerbv_pnp_readme.html geda_gfdl.html geda_glossary.html geda_gnetlist_mp.html geda_gnetlist_ug.html geda_grcsan.html geda_grenum_mp.html geda_gsch2pcb_readme.html geda_gschem2pcb_readme.html geda_gschem_mp.html geda_gschem_ug.html geda_gsymcheck_mp.html geda_gtkwave_lxt2miner_mp.html geda_gtkwave_lxt2vcd_mp.html geda_gtkwave_mp.html geda_gtkwave_mvl2lxt_mp.html geda_gtkwave_mvl2vcd_mp.html geda_gtkwave_tex2vcd_mp.html geda_gtkwave_tla2vcd_mp.html geda_gtkwave_vcd2lxt2_mp.html geda_gtkwave_vcd2lxt_mp.html geda_gtkwave_vcd2vzt_mp.html geda_gtkwave_vzt2vcd_mp.html geda_gtkwave_vztminer_mp.html geda_hse_howto.html geda_icarus_anc.html geda_icarus_extensions.html geda_icarus_glossary.html geda_icarus_ieee1364.html geda_icarus_mp.html geda_icarus_opcodes.html geda_icarus_quick_start.html geda_icarus_readme.html geda_icarus_vpi_mp.html geda_icarus_vpi_within_vvp.html geda_icarus_vvp_runtime.html geda_icarus_vvp_simulation.html geda_icarus_xilinx_hints.html geda_icarus_xnf.html geda_igarus_fpga_lcg.html geda_installation.html geda_installed_plugins.html geda_kig_howto.html geda_master_attributes_list.html geda_mcalc_readme.html geda_na_howto.html geda_ngnutmeg_mp.html geda_ngsconvert_mp.html geda_ngspice_mp.html geda_olib_readme.html geda_pcb-quick_reference.html geda_pcb.html geda_pcb_mp.html geda_pcb_tips.html geda_pcb_ug.html geda_scg.html geda_sdb_howto.html geda_sn_readme.html geda_ssan.html geda_style_guide.html geda_suse_10.html geda_suse_9.html geda_syntax_features.html geda_systemc_netlister_readme.html geda_tasks.html geda_todos.html geda_tragesym_readme.html geda_usage.html geda_vams_netlister_readme.html geda_verilog_netlister_readme.html geda_vhdl_netlister_readme.html geda_wcalc_mp.html geda_wcalc_readme.html geda_wcalc_stdio_mp.html index.html start_es.html start_fr.html 001geda_debian.html geda_tragesym_tutorial.html geda_ubuntu.html 
  +docs_DATA = 001geda_debian.html 001geda_documentation.html 001geda_faq-attribs.html 001geda_faq-gnetlist.html 001geda_faq-gsch2pcb.html 001geda_faq-gschem.html 001geda_faq-simulation.html 001geda_faq.html 001geda_glossary.html 001geda_gschem_mp.html 001geda_gschem_ug.html 001geda_hse_howto.html 001geda_installation.html 001geda_kig_howto.html 001geda_pcb-quick_reference.html 001geda_pcb_tips.html 001geda_scg.html 001geda_suse_10.html 001geda_tasks.html 001geda_todos.html 001geda_usage.html docs_20060124_gschem_ug_app_a.html docs_20060124_gschem_ug_app_b.html docs_20060124_gschem_ug_app_c.html docs_20060124_gschem_ug_components_symbols_objects_attributes.html docs_20060124_gschem_ug_electrical_connectivity.html docs_20060124_gschem_ug_how_to_ask_questions.html docs_20060124_gschem_ug_installing_gschem.html docs_20060124_gschem_ug_resources.html docs_20060124_gschem_ug_the_main_window.html docs_20060124_gschem_ug_the_status_window.html geda_bom_readme.html geda_covered_mp.html geda_covered_rv.html geda_csygas.html geda_cygwin.html geda_debian.html geda_documentation.html geda_eagle_pcb_netlister_readme.html geda_example_hsm.html geda_example_usbjtag.html geda_faq-attribs.html geda_faq-gnetlist.html geda_faq-gsch2pcb.html geda_faq-gschem.html geda_faq-simulation.html geda_faq.html geda_fbabgapp.html geda_fc1.html geda_fc2.html geda_fc3.html geda_fc4.html geda_file_format_spec.html geda_footprint_creation.html geda_gattrib_readme.html geda_gerbv_mp.html geda_gerbv_pnp_readme.html geda_gfdl.html geda_glossary.html geda_gnetlist_mp.html geda_gnetlist_ug.html geda_grcsan.html geda_grenum_mp.html geda_gsch2pcb_readme.html geda_gschem2pcb_readme.html geda_gschem_mp.html geda_gschem_ug.html geda_gsymcheck_mp.html geda_gtkwave_lxt2miner_mp.html geda_gtkwave_lxt2vcd_mp.html geda_gtkwave_mp.html geda_gtkwave_mvl2lxt_mp.html geda_gtkwave_mvl2vcd_mp.html geda_gtkwave_tex2vcd_mp.html geda_gtkwave_tla2vcd_mp.html geda_gtkwave_vcd2lxt2_mp.html geda_gtkwave_vcd2lxt_mp.html geda_gtkwave_vcd2vzt_mp.html geda_gtkwave_vzt2vcd_mp.html geda_gtkwave_vztminer_mp.html geda_hse_howto.html geda_icarus_anc.html geda_icarus_extensions.html geda_icarus_glossary.html geda_icarus_ieee1364.html geda_icarus_mp.html geda_icarus_opcodes.html geda_icarus_quick_start.html geda_icarus_readme.html geda_icarus_vpi_mp.html geda_icarus_vpi_within_vvp.html geda_icarus_vvp_runtime.html geda_icarus_vvp_simulation.html geda_icarus_xilinx_hints.html geda_icarus_xnf.html geda_igarus_fpga_lcg.html geda_installation.html geda_installed_plugins.html geda_kig_howto.html geda_master_attributes_list.html geda_mcalc_readme.html geda_na_howto.html geda_ngnutmeg_mp.html geda_ngsconvert_mp.html geda_ngspice_mp.html geda_olib_readme.html geda_pcb-quick_reference.html geda_pcb.html geda_pcb_mp.html geda_pcb_tips.html geda_pcb_ug.html geda_scg.html geda_sdb_howto.html geda_sn_readme.html geda_ssan.html geda_style_guide.html geda_suse_10.html geda_suse_9.html geda_syntax_features.html geda_tasks.html geda_systemc_netlister_readme.html geda_todos.html geda_tragesym_readme.html geda_tragesym_tutorial.html geda_ubuntu.html geda_usage.html geda_vams_netlister_readme.html geda_verilog_netlister_readme.html geda_vhdl_netlister_readme.html geda_wcalc_mp.html geda_wcalc_readme.html geda_wcalc_stdio_mp.html index.html start_es.html start_fr.html 001geda_tragesym_tutorial.html geda_devel-tips.html geda_fedora_rpm_installation.html geda_gedasuite_installation.html geda_glossaire.html geda_suse_rpm_installation.html 
   
   EXTRA_DIST = $(docs_DATA)
   
  
  
  
  1.2.6.1   +1 -1      eda/geda/gaf/docs/wiki/geda_cygwin.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_cygwin.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_cygwin.html,v
  retrieving revision 1.2
  retrieving revision 1.2.6.1
  diff -u -b -r1.2 -r1.2.6.1
  --- geda_cygwin.html	21 Oct 2006 03:57:46 -0000	1.2
  +++ geda_cygwin.html	23 Feb 2007 23:32:02 -0000	1.2.6.1
  @@ -13,7 +13,7 @@
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:cygwin?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:cygwin?do=export_raw"; />
     <meta name="date" content="2006-10-20T21:08:19-0400" />
  -  <meta name="robots" content="noindex,nofollow" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
  
  
  
  1.1.6.1   +40 -5     eda/geda/gaf/docs/wiki/geda_faq-gnetlist.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_faq-gnetlist.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_faq-gnetlist.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- geda_faq-gnetlist.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ geda_faq-gnetlist.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq-gnetlist?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq-gnetlist?do=export_raw"; />
  -  <meta name="date" content="2006-05-08T16:39:15-0400" />
  +  <meta name="date" content="2007-01-15T08:19:25-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -26,6 +26,7 @@
   <ul class="toc">
   <li class="level1"><div class="li"><span class="li"><a href="#gnetlist_build_run-time_problems" class="toc">Gnetlist build/run-time problems</a></span></div>
   <ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#i_get_a_parenthesis_mismatch_error_when_running_gnetlist._what_s_up" class="toc">I get a &quot;parenthesis mismatch&quot; error when running gnetlist.  What's up?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#the_gnetlist_bom_backend_does_not_work._what_is_wrong" class="toc">The gnetlist bom backend does not work. What is wrong?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#some_gnetlist_backends_overflow_the_stack._how_do_i_solve_this" class="toc">Some gnetlist backends overflow the stack. How do I solve this?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#gnetlist_has_created_a_netlist_with_duplicate_pins" class="toc">gnetlist has created a netlist with duplicate pins!?</a></span></div></li></ul>
  @@ -37,7 +38,41 @@
   <div class="level1">
   
   </div>
  -<!-- SECTION [1-47] -->
  +<!-- SECTION [1-48] -->
  +<h2><a name="i_get_a_parenthesis_mismatch_error_when_running_gnetlist._what_s_up" id="i_get_a_parenthesis_mismatch_error_when_running_gnetlist._what_s_up">I get a &quot;parenthesis mismatch&quot; error when running gnetlist.  What's up?</a></h2>
  +<div class="level2">
  +
  +<p>
  + Starting with Fedorea Core 6 and SuSE 10.2, many users have reported an error which looks like this:
  +</p>
  +<pre class="code">
  +$gnetlist -g spice-sdb -o TwoStageAmp.cir TwoStageAmp.sch
  +Command line passed = gnetlist -g spice-sdb -o TwoStageAmp.cir TwoStageAmp.sch
  +gEDA/gnetlist version 20061020
  +gEDA/gnetlist comes with ABSOLUTELY NO WARRANTY; see COPYING for more details.
  +This is free software, and you are welcome to redistribute it under certain
  +conditions; please see the COPYING file for more details.
  +
  +Remember to check that your schematic has no errors using the drc2 backend.
  +You can do it running 'gnetlist -g drc2 your_schematic.sch -o drc_output.txt'
  +and seeing the contents of the file drc_output.txt.
  +
  +Loading schematic [/home/nano/TwoStageAmp/TwoStageAmp.sch]
  +Probably parenthesis mismatch in /usr/share/gEDA/scheme/gnet-spice-sdb.scm
  +Most recently read form: (#@begin #&lt;unspecified&gt;)
  +ERROR: Unbound variable: spice-sdb
  +</pre>
  +
  +<p>
  +Several gnetlist backends evince this problem, including spice-sdb and drc2.
  +</p>
  +
  +<p>
  +This is a bug in guile-1.8.X.  The gEDA developers are working on a fix.  Meanwhile, try to backrev your version of guile to 1.6.7, which is known to work flawlessly with gEDA.
  +</p>
  +
  +</div>
  +<!-- SECTION [49-1315] -->
   <h2><a name="the_gnetlist_bom_backend_does_not_work._what_is_wrong" id="the_gnetlist_bom_backend_does_not_work._what_is_wrong">The gnetlist bom backend does not work. What is wrong?</a></h2>
   <div class="level2">
   
  @@ -60,7 +95,7 @@
   </p>
   
   </div>
  -<!-- SECTION [48-576] -->
  +<!-- SECTION [1316-1844] -->
   <h2><a name="some_gnetlist_backends_overflow_the_stack._how_do_i_solve_this" id="some_gnetlist_backends_overflow_the_stack._how_do_i_solve_this">Some gnetlist backends overflow the stack. How do I solve this?</a></h2>
   <div class="level2">
   
  @@ -80,7 +115,7 @@
   </p>
   
   </div>
  -<!-- SECTION [577-1382] -->
  +<!-- SECTION [1845-2650] -->
   <h2><a name="gnetlist_has_created_a_netlist_with_duplicate_pins" id="gnetlist_has_created_a_netlist_with_duplicate_pins">gnetlist has created a netlist with duplicate pins!?</a></h2>
   <div class="level2">
   
  @@ -107,6 +142,6 @@
   </p>
   
   </div>
  -<!-- SECTION [1383-] --></div>
  +<!-- SECTION [2651-] --></div>
   </body>
   </html>
  
  
  
  1.2.6.1   +170 -45   eda/geda/gaf/docs/wiki/geda_faq-gschem.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_faq-gschem.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_faq-gschem.html,v
  retrieving revision 1.2
  retrieving revision 1.2.6.1
  diff -u -b -r1.2 -r1.2.6.1
  --- geda_faq-gschem.html	21 Oct 2006 03:57:46 -0000	1.2
  +++ geda_faq-gschem.html	23 Feb 2007 23:32:02 -0000	1.2.6.1
  @@ -12,8 +12,8 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq-gschem?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq-gschem?do=export_raw"; />
  -  <meta name="date" content="2006-10-19T11:52:57-0400" />
  -  <meta name="robots" content="noindex,nofollow" />
  +  <meta name="date" content="2007-01-08T06:01:43-0500" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
  @@ -31,8 +31,9 @@
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_unlock_a_locked_component" class="toc">How do I unlock a locked component</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_can_i_get_refdes_automatically_numbered_when_i_draw_a_schematic" class="toc">How can I get refdes automatically numbered when I draw a schematic?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#what_is_a_bus_and_how_do_i_use_it" class="toc">What is a Bus and how do I use it?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#what_are_the_key_bindings_in_gschem" class="toc">What are the Key Bindings in gschem?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_change_key_bindings_in_gschem" class="toc">How can I change Key Bindings in gschem?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#what_are_the_mouse_bindings_in_gschem" class="toc">What are the mouse bindings in gschem?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#can_gschem_do_hierarchical_designs_with_sub_sheets" class="toc">Can gschem do hierarchical designs with sub sheets?</a></span></div></li>
   </ul>
   </li>
   <li class="level1"><div class="li"><span class="li"><a href="#gschem_symbols" class="toc">gschem symbols</a></span></div>
  @@ -47,8 +48,10 @@
   </li>
   <li class="level1"><div class="li"><span class="li"><a href="#gschem_configuration_customization" class="toc">Gschem configuration/customization</a></span></div>
   <ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_configure_my_local_gafrc_to_find_my_local_symbol_directory" class="toc">How do I configure my local gafrc to find my local symbol directory?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_can_i_change_the_default_size_of_floating_text" class="toc">How can I change the default size of floating text?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_can_i_have_a_different_background_color_other_than_black" class="toc">How can I have a different background color other than black?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#each_time_i_start_gschem_the_log_message_window_is_shown._can_i_disable_it" class="toc">Each time I start gschem, the log message window is shown. Can I disable it?</a></span></div></li>
   </ul>
   </li>
   <li class="level1"><div class="li"><span class="li"><a href="#printing_output" class="toc">Printing/Output</a></span></div>
  @@ -64,7 +67,8 @@
   <ul class="toc">
   <li class="level2"><div class="li"><span class="li"><a href="#gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around" class="toc">Gschem segfaults when I delete components on FC5 (and other Linux distributions)! Is there a work-around?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#after_installation_gschem_does_not_work_what_could_be_wrong" class="toc">After installation gschem does not work!? What could be wrong?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#add_components_offers_no_symbols_what_can_i_do_about_it" class="toc">&quot;Add Components&quot; offers no symbols! What can I do about it?</a></span></div></li></ul>
  +<li class="level2"><div class="li"><span class="li"><a href="#add_components_offers_no_symbols_what_can_i_do_about_it" class="toc">&quot;Add Components&quot; offers no symbols! What can I do about it?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#i_m_using_gschem_gaf_through_a_ssh_connection_and_i_get_an_error_likexlibextension_render_missing_on_display_localhost10.0" class="toc">I'm using gschem/gaf through a SSH connection and I get an error like: 'Xlib: extension &quot;RENDER&quot; missing on display &quot;localhost:10.0&quot;.'</a></span></div></li></ul>
   </li></ul>
   </div>
   </div>
  @@ -78,7 +82,21 @@
   <div class="level2">
   
   <p>
  -Newbies with long-time Windows experience often ask this question. Here are three ways to easily move components with gschem:
  + This is no longer an issue; moving a component can be done using the left mouse button &ndash; the method most familiar to Windows users.   In versions equal to or later than 20060824, do this:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Select the component by clicking it with the left mouse button. The component will highlight.</div>
  +</li>
  +<li class="level1"><div class="li"> Drag the component (using the left mouse button) to the place you want it.</div>
  +</li>
  +</ol>
  +
  +<p>
  + This is probably what you are already used to doing.
  +</p>
  +
  +<p>
  +For folks with older versions of gschem, here are three ways to easily move components with gschem:
   </p>
   
   <p>
  @@ -92,7 +110,7 @@
   </ol>
   
   <p>
  -Two:
  + Two:
   </p>
   <ol>
   <li class="level1"><div class="li"> Select the component by clicking it with the left mouse button. The component will highlight.</div>
  @@ -104,7 +122,7 @@
   </ol>
   
   <p>
  -Three:
  + Three:
   </p>
   <ol>
   <li class="level1"><div class="li"> Select the component by clicking it with the left mouse button. The component will highlight.</div>
  @@ -120,7 +138,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [29-1203] -->
  +<!-- SECTION [29-1598] -->
   <h2><a name="how_do_i_change_the_size_of_the_text_on_a_symbol" id="how_do_i_change_the_size_of_the_text_on_a_symbol">How do I change the size of the text on a symbol?</a></h2>
   <div class="level2">
   <ol>
  @@ -149,7 +167,7 @@
   </p>
   
   </div>
  -<!-- SECTION [1204-1994] -->
  +<!-- SECTION [1599-2389] -->
   <h2><a name="how_do_i_unlock_a_locked_component" id="how_do_i_unlock_a_locked_component">How do I unlock a locked component</a></h2>
   <div class="level2">
   
  @@ -158,23 +176,25 @@
   </p>
   
   </div>
  -<!-- SECTION [1995-2404] -->
  +<!-- SECTION [2390-2799] -->
   <h2><a name="how_can_i_get_refdes_automatically_numbered_when_i_draw_a_schematic" id="how_can_i_get_refdes_automatically_numbered_when_i_draw_a_schematic">How can I get refdes automatically numbered when I draw a schematic?</a></h2>
   <div class="level2">
   
   <p>
   Edit the system-gschemrc file or place the following into a gschemrc file (either <strong><code>~/.gEDA/gschemrc</code></strong> or a <strong><code>gschemrc</code></strong> file in the local directory where you invoke gschem): 
   </p>
  -<pre class="code">(load &quot;$YOUR_INSTALL_PATH/share/gEDA/scheme/auto-uref.scm&quot;)  ; load the autonumbering script
  +<pre class="code">
  +(load (string-append gedadata &quot;/scheme/auto-uref.scm&quot;)) ; load the autonumbering script
   (add-hook! add-component-hook auto-uref)                     ; autonumber when adding a component
  -(add-hook! copy-component-hook auto-uref)                    ; autonumber when copying a component</pre>
  +(add-hook! copy-component-hook auto-uref)      ; autonumber when copying a component
  +</pre>
   
   <p>
   Please substitute $YOUR_INSTALL_PATH by the path where geda is installed.
   </p>
   
   </div>
  -<!-- SECTION [2405-3047] -->
  +<!-- SECTION [2800-3411] -->
   <h2><a name="what_is_a_bus_and_how_do_i_use_it" id="what_is_a_bus_and_how_do_i_use_it">What is a Bus and how do I use it?</a></h2>
   <div class="level2">
   
  @@ -187,12 +207,16 @@
   </p>
   
   </div>
  -<!-- SECTION [3048-3603] -->
  -<h2><a name="what_are_the_key_bindings_in_gschem" id="what_are_the_key_bindings_in_gschem">What are the Key Bindings in gschem?</a></h2>
  +<!-- SECTION [3412-3967] -->
  +<h2><a name="how_can_i_change_key_bindings_in_gschem" id="how_can_i_change_key_bindings_in_gschem">How can I change Key Bindings in gschem?</a></h2>
   <div class="level2">
   
  +<p>
  +Key accels are determined by configuration files read on start-up. The default key bindings are set in the file system-gschemrc. If you want to chenge them, it is recommended to copy and modify the corresponding keymap section to a local config file ($HOME/.gEDA/gschemrc, or $PWD/gschemrc). The modified section will override the the definitions given in system-gschemrc.
  +</p>
  +
   </div>
  -<!-- SECTION [3604-3653] -->
  +<!-- SECTION [3968-4394] -->
   <h2><a name="what_are_the_mouse_bindings_in_gschem" id="what_are_the_mouse_bindings_in_gschem">What are the mouse bindings in gschem?</a></h2>
   <div class="level2">
   
  @@ -234,16 +258,33 @@
   <pre class="code">(third-button &quot;mousepan&quot;)  ;use the mouse to pan around the schematic</pre>
   
   <p>
  -For more information on these options, please see the <strong><code>${install_prefix}/share/gEDA/system-gschemrc</code></strong> file.
  +For more information on these options, please see the <code>${install_prefix}/share/gEDA/system-gschemrc</code> file.
   </p>
   
   </div>
  -<!-- SECTION [3654-4739] -->
  +<!-- SECTION [4395-5476] -->
  +<h2><a name="can_gschem_do_hierarchical_designs_with_sub_sheets" id="can_gschem_do_hierarchical_designs_with_sub_sheets">Can gschem do hierarchical designs with sub sheets?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Yes. Sub sheets are represented by special symbols on the top level schematic. You can find an example for a hierarchical design in the doc section of gschem (<code>geda-doc/examples/gTAG/gTAG.sch</code>).Currently, there is no way to automatically build a sub sheet symbol from an actual sub sheet. The sub sheet symbol has to be drawn manually. Any patches or external scripts that get the job done would be greatly appreciated.
  +</p>
  +
  +<p>
  +A source attribute attached to the sub sheet symbol gives the path to the sub sheet file. The pins of the symbol correspond to ports from top sheet to sub sheet. These ports should correspond to a refdes of a port symbol on the sub sheet. The port symbols in the default library of geda are <code>in-1.sym</code> and <code>out-1.sym</code>. Use the hierarchy menu to navigate within the hierarchy of sub sheets. Alternatively, you can navigate with the page manager. 
  +</p>
  +
  +<p>
  +To convert a hierarchy to a netlist just call gsch2pcb on the top level schematic. By default, net names and refdes&rsquo;s of components are strictly local to the subsheet. They get the sub sheet symbol refdes as a prefix when inserted into the net list. You can even use multiple instances of a sub sheet symbol without undue short cuts. Whether or not net names and refdes&rsquo;s are mangled and thus local to the sub sheet can be configured in a the config file gnetlistrc. Copy the corresponding lines from <code>system-gnetlistrc</code> to <code>gnetlistrc</code> in the current working directory or to <code>$HOME/.gEDA/gnetlistrc</code>. A convinient configuration is to make nets delivered by power symbols global while ordinary nets remain local to the sub sheet. This can be achieved with &ldquo;hierarchy-netattrib-mangle&rdquo; enabled. 
  +</p>
  +
  +</div>
  +<!-- SECTION [5477-7216] -->
   <h1><a name="gschem_symbols" id="gschem_symbols">gschem symbols</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [4740-4769] -->
  +<!-- SECTION [7217-7246] -->
   <h2><a name="what_s_this_business_about_heavy_vs._light_symbols" id="what_s_this_business_about_heavy_vs._light_symbols">What's this business about heavy vs. light symbols?</a></h2>
   <div class="level2">
   
  @@ -252,7 +293,7 @@
   </p>
   
   <p>
  -The debate between proponents of heavy and light symbols is very detailed and involved. In caricature, proponents of heavy symbols belive that they provide better integration between gschem and PCB since the important layout attributes (such as <a href="http://geda.seul.org/wiki/geda:pcb_tips#i_want_to_use_pcb_to_do_layout._how_do_i_know_what_value_to_use_for_the_footprint_attribute"; class="wikilink1" title="geda:pcb_tips">footprint name</a>) are already built into the symbol. This is considered a good thing for new users (noobs) who just want to design a simple board and donâ??t appreciate or donâ??t care about the zillions of variations that even a simple resistor might have (e.g. different footprint, TCR, precision, material composition, etc). Proponents of light symbols prefer to deal with attributes at the schematic level because they believe it to be more flexible. They are quick to point out that a library of heavy symbols will quickly grow into the thousands of parts with grotesquely long names trying to distinguish between the different variations of the part. They also point out that the utility &ldquo;gattribâ?? is the preferred tool for dealing with attributes at the schematic level (i.e. in the .sch file).
  +The debate between proponents of heavy and light symbols is very detailed and involved. In caricature, proponents of heavy symbols belive that they provide better integration between gschem and PCB since the important layout attributes (such as <a href="geda_pcb_tips.html" class="wikilink1" title="geda:pcb_tips">footprint name</a>) are already built into the symbol. This is considered a good thing for new users (noobs) who just want to design a simple board and donâ??t appreciate or donâ??t care about the zillions of variations that even a simple resistor might have (e.g. different footprint, TCR, precision, material composition, etc). Proponents of light symbols prefer to deal with attributes at the schematic level because they believe it to be more flexible. They are quick to point out that a library of heavy symbols will quickly grow into the thousands of parts with grotesquely long names trying to distinguish between the different variations of the part. They also point out that the utility &ldquo;gattribâ?? is the preferred tool for dealing with attributes at the schematic level (i.e. in the .sch file).
   </p>
   
   <p>
  @@ -260,11 +301,15 @@
   </p>
   
   <p>
  -<a href="http://archives.seul.org/geda/user/Jun-2005/msg00001.html"; class="urlextern" title="http://archives.seul.org/geda/user/Jun-2005/msg00001.html";  rel="nofollow">http://archives.seul.org/geda/user/Jun-2005/msg00001.html</a> <a href="http://archives.seul.org/geda/dev/Oct-2005/msg00043.html"; class="urlextern" title="http://archives.seul.org/geda/dev/Oct-2005/msg00043.html";  rel="nofollow">http://archives.seul.org/geda/dev/Oct-2005/msg00043.html</a>
  +<a href="http://archives.seul.org/geda/user/Jun-2005/msg00001.html"; class="urlextern" title="http://archives.seul.org/geda/user/Jun-2005/msg00001.html";  rel="nofollow">http://archives.seul.org/geda/user/Jun-2005/msg00001.html</a>
  +</p>
  +
  +<p>
  +<a href="http://archives.seul.org/geda/dev/Oct-2005/msg00043.html"; class="urlextern" title="http://archives.seul.org/geda/dev/Oct-2005/msg00043.html";  rel="nofollow">http://archives.seul.org/geda/dev/Oct-2005/msg00043.html</a>
   </p>
   
   </div>
  -<!-- SECTION [4770-6900] -->
  +<!-- SECTION [7247-9378] -->
   <h2><a name="i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid" id="i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid">I am using a symbol out of the library.  How come it's not aligned to the grid?</a></h2>
   <div class="level2">
   
  @@ -277,7 +322,7 @@
   </p>
   
   </div>
  -<!-- SECTION [6901-7678] -->
  +<!-- SECTION [9379-10156] -->
   <h2><a name="is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection" id="is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection">Is there an explicit &quot;no connect&quot; symbol that I can/should place in the schematic to prevent gnetlist from thinking I've forgotten a connection?</a></h2>
   <div class="level2">
   
  @@ -294,7 +339,7 @@
   </p>
   
   </div>
  -<!-- SECTION [7679-8248] -->
  +<!-- SECTION [10157-10726] -->
   <h2><a name="how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic" id="how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic">How do I promote an invisible symbol attribute into the schematic?</a></h2>
   <div class="level2">
   
  @@ -315,7 +360,7 @@
   </p>
   
   </div>
  -<!-- SECTION [8249-8884] -->
  +<!-- SECTION [10727-11362] -->
   <h2><a name="what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit" id="what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit">What should I do about power pins on my symbols: Make them visible (explicit) or invisible (implicit)?</a></h2>
   <div class="level2">
   
  @@ -344,7 +389,7 @@
   </p>
   
   </div>
  -<!-- SECTION [8885-11142] -->
  +<!-- SECTION [11363-13620] -->
   <h2><a name="is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it" id="is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it">Is there a specification or manual for creating gschem symbols? Where is it?</a></h2>
   <div class="level2">
   
  @@ -353,7 +398,7 @@
   </p>
   
   </div>
  -<!-- SECTION [11143-11283] -->
  +<!-- SECTION [13621-13761] -->
   <h1><a name="gschem_configuration_customization" id="gschem_configuration_customization">Gschem configuration/customization</a></h1>
   <div class="level1">
   
  @@ -362,7 +407,43 @@
   </p>
   
   </div>
  -<!-- SECTION [11284-11461] -->
  +<!-- SECTION [13762-13939] -->
  +<h2><a name="how_do_i_configure_my_local_gafrc_to_find_my_local_symbol_directory" id="how_do_i_configure_my_local_gafrc_to_find_my_local_symbol_directory">How do I configure my local gafrc to find my local symbol directory?</a></h2>
  +<div class="level2">
  +<ol>
  +<li class="level1"><div class="li">  Create a project directory, for example ${HOME}/myproj.</div>
  +</li>
  +<li class="level1"><div class="li">  Place the symbols you want to use into ${HOME}/myproj/symbols.</div>
  +</li>
  +<li class="level1"><div class="li">  Create a gafrc file in ${HOME}/myproj.</div>
  +</li>
  +<li class="level1"><div class="li">  In gafrc, put this line:  <pre class="code"> (component-library &quot;./symbols&quot;) </pre>
  +</div>
  +</li>
  +<li class="level1"><div class="li">  Run gschem from your project directory ${HOME}/myproj.  That  is, do this to run gschem:<pre class="code">cd ${HOME}/myproj
  +gschem myschematic.sch</pre>
  +</div>
  +</li>
  +</ol>
  +
  +<p>
  + Points to remember:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> The guile stuff which processes your RC file doesn&rsquo;t understand or expand shell wildcards like &ldquo;~&rdquo; or ${HOME}.  It does understand &ldquo;.&rdquo; as the current working directory, and it does understand absolute file paths.  If you want to do something tricky, you can try to  use Scheme functions to get directory information.</div>
  +</li>
  +<li class="level1"><div class="li"> Make sure gafrc lives in your main project directory. </div>
  +</li>
  +<li class="level1"><div class="li"> Run all gEDA programs from your main project directory. </div>
  +</li>
  +<li class="level1"><div class="li"> Run the programs from the command line in a terminal shell &ndash; don&rsquo;t use any whizzy, shiny desktop icons to run gschem (if you have them) since you won&rsquo;t know what directory gschem is starting in, and gschem might not find gafrc.</div>
  +</li>
  +<li class="level1"><div class="li"> The key is: start gschem in the same directory as where your gafrc lives.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [13940-15193] -->
   <h2><a name="how_can_i_change_the_default_size_of_floating_text" id="how_can_i_change_the_default_size_of_floating_text">How can I change the default size of floating text?</a></h2>
   <div class="level2">
   
  @@ -376,7 +457,7 @@
   </p>
   
   </div>
  -<!-- SECTION [11462-11620] -->
  +<!-- SECTION [15194-15352] -->
   <h2><a name="how_can_i_have_a_different_background_color_other_than_black" id="how_can_i_have_a_different_background_color_other_than_black">How can I have a different background color other than black?</a></h2>
   <div class="level2">
   
  @@ -406,12 +487,37 @@
   </p>
   
   </div>
  -<!-- SECTION [11621-12656] -->
  +<!-- SECTION [15353-16388] -->
  +<h2><a name="each_time_i_start_gschem_the_log_message_window_is_shown._can_i_disable_it" id="each_time_i_start_gschem_the_log_message_window_is_shown._can_i_disable_it">Each time I start gschem, the log message window is shown. Can I disable it?</a></h2>
  +<div class="level2">
  +
  +<p>
  +In the system-gschemrc file, you will find the following section: 
  +</p>
  +<pre class="code">
  +; log-window string
  +;
  +; Controls if the log message window is mapped when gschem is started up
  +; Possible options:
  +;       startup - opened up when gschem starts
  +;       later   - NOT opened up when gschem starts
  +;                 (can be opened by Options/Show Log Window)
  +;
  +(log-window &quot;startup&quot;)
  +;(log-window &quot;later&quot;)</pre>
  +
  +<p>
  +Comment out the <strong><code>startup</code></strong> line (with a ;) and comment in the <strong><code>later</code></strong> line, or add the following line to your gschemrc file: 
  +</p>
  +<pre class="code">(log-window &quot;later&quot;)</pre>
  +
  +</div>
  +<!-- SECTION [16389-17051] -->
   <h1><a name="printing_output" id="printing_output">Printing/Output</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [12657-12686] -->
  +<!-- SECTION [17052-17081] -->
   <h2><a name="how_do_i_print_schematics_from_the_command_line" id="how_do_i_print_schematics_from_the_command_line">How do I print schematics from the command line?</a></h2>
   <div class="level2">
   
  @@ -442,7 +548,7 @@
   done</pre>
   
   </div>
  -<!-- SECTION [12687-13672] -->
  +<!-- SECTION [17082-18067] -->
   <h2><a name="how_can_i_get_color_postscript_png_output" id="how_can_i_get_color_postscript_png_output">How can I get color postscript/PNG output?</a></h2>
   <div class="level2">
   
  @@ -458,7 +564,7 @@
   <pre class="code">(output-color-background 16 &quot;black&quot; &quot;null&quot; &quot;0 0 0&quot; 0 0 0)</pre>
   
   <p>
  -The â??0 0 0â?? is the RGB components (between 0..1) for the background color of the PS output.
  +The â??0 0 0��� is the RGB components (between 0..1) for the background color of the PS output.
   </p>
   
   <p>
  @@ -471,7 +577,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13673-14804] -->
  +<!-- SECTION [18068-19205] -->
   <h2><a name="how_can_i_get_black_and_white_postscript_png_output" id="how_can_i_get_black_and_white_postscript_png_output">How can I get black and white postscript/PNG output?</a></h2>
   <div class="level2">
   
  @@ -486,7 +592,7 @@
   <pre class="code">(image-color &quot;disabled&quot;)       ; for monochromoe PNG output</pre>
   
   </div>
  -<!-- SECTION [14805-15170] -->
  +<!-- SECTION [19206-19571] -->
   <h2><a name="how_can_i_insert_schematics_into_my_latex_document" id="how_can_i_insert_schematics_into_my_latex_document">How can I insert schematics into my LaTex document?</a></h2>
   <div class="level2">
   <ol>
  @@ -510,7 +616,7 @@
   \end{document}</pre>
   
   </div>
  -<!-- SECTION [15171-15734] -->
  +<!-- SECTION [19572-20135] -->
   <h2><a name="how_can_i_split_postscript_output_over_multiple_pages" id="how_can_i_split_postscript_output_over_multiple_pages">How can I split postscript output over multiple pages?</a></h2>
   <div class="level2">
   
  @@ -519,21 +625,21 @@
   </p>
   
   </div>
  -<!-- SECTION [15735-16078] -->
  +<!-- SECTION [20136-20479] -->
   <h1><a name="gschem_installation_run-time_problems" id="gschem_installation_run-time_problems">Gschem installation/run-time problems</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [16079-16131] -->
  +<!-- SECTION [20480-20532] -->
   <h2><a name="gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around" id="gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around">Gschem segfaults when I delete components on FC5 (and other Linux distributions)! Is there a work-around?</a></h2>
   <div class="level2">
   
   <p>
  -This bug seems to have appeared for users of Fedora Core 5 (and other linux distributions that use glib 2.10.x). The bug has been fixed by the developers and the bug fix will appear in the next version of gEDA/gaf. 
  +This bug emerged in mid 2006 for users of Fedora Core 5 (and other linux distributions that use glib 2.10.x). The bug has been fixed by the developers; the latest versions of gEDA/gaf (i.e. Oct 2006 and beyond) shouldn&rsquo;t have this problem. 
   </p>
   
   <p>
  -In the mean time, you can work around this bug by setting the environment variable G_SLICE to â??always-mallocâ??.  Specifically, before you run gschem, do this:
  +If you happen to have an older version of gEDA/gaf, you can work around this bug by setting the environment variable G_SLICE to â??always-mallocâ??.   Specifically, before you run gschem, do this:
   </p>
   
   <p>
  @@ -547,7 +653,7 @@
   <pre class="code">setenv G_SLICE always-malloc</pre>
   
   </div>
  -<!-- SECTION [16132-16726] -->
  +<!-- SECTION [20533-21187] -->
   <h2><a name="after_installation_gschem_does_not_work_what_could_be_wrong" id="after_installation_gschem_does_not_work_what_could_be_wrong">After installation gschem does not work!? What could be wrong?</a></h2>
   <div class="level2">
   
  @@ -585,7 +691,7 @@
   </p>
   
   </div>
  -<!-- SECTION [16727-18650] -->
  +<!-- SECTION [21188-23111] -->
   <h2><a name="add_components_offers_no_symbols_what_can_i_do_about_it" id="add_components_offers_no_symbols_what_can_i_do_about_it">&quot;Add Components&quot; offers no symbols! What can I do about it?</a></h2>
   <div class="level2">
   
  @@ -617,6 +723,25 @@
   </p>
   
   </div>
  -<!-- SECTION [18651-] --></div>
  +<!-- SECTION [23112-23916] -->
  +<h2><a name="i_m_using_gschem_gaf_through_a_ssh_connection_and_i_get_an_error_likexlibextension_render_missing_on_display_localhost10.0" id="i_m_using_gschem_gaf_through_a_ssh_connection_and_i_get_an_error_likexlibextension_render_missing_on_display_localhost10.0">I'm using gschem/gaf through a SSH connection and I get an error like: 'Xlib: extension &quot;RENDER&quot; missing on display &quot;localhost:10.0&quot;.'</a></h2>
  +<div class="level2">
  +
  +<p>
  + If you are getting into the remote machine by doing:
  +</p>
  +<pre class="code">$ ssh -X your_username@your_remote_machine</pre>
  +
  +<p>
  +and afterwards you get the Xlib RENDER message, then try to get into by doing:
  +</p>
  +<pre class="code">$ ssh -Y your_username@your_remote_machine</pre>
  +
  +<p>
  +The latter enables trusted X11 forwarding. 
  +</p>
  +
  +</div>
  +<!-- SECTION [23917-] --></div>
   </body>
   </html>
  
  
  
  1.1.6.1   +2 -2      eda/geda/gaf/docs/wiki/geda_faq-simulation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_faq-simulation.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_faq-simulation.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- geda_faq-simulation.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ geda_faq-simulation.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq-simulation?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq-simulation?do=export_raw"; />
  -  <meta name="date" content="2006-05-06T17:10:37-0400" />
  +  <meta name="date" content="2006-12-09T17:31:56-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -159,7 +159,7 @@
   </p>
   
   <p>
  -Personally, I tend to create SPICE models of only the critical analog sections of my design. A larger project might therefore have a couple of simulation schematics validating a couple of analog subcircuits. Besides the simulation scheamtics, Iâ??ll have a main schematic which is used for layout. 
  +Personally, I tend to create SPICE models of only the critical analog sections of my design. A larger project might therefore have a couple of simulation schematics validating a couple of analog subcircuits. Besides the simulation schematics, Iâ??ll have a main schematic which is used for layout. 
   </p>
   
   </div>
  
  
  
  1.3.6.1   +30 -4     eda/geda/gaf/docs/wiki/geda_faq.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_faq.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_faq.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_faq.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_faq.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq?do=export_raw"; />
  -  <meta name="date" content="2006-09-04T16:05:25-0400" />
  +  <meta name="date" content="2006-12-24T09:10:03-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -39,6 +39,7 @@
   <li class="level3"><div class="li"><span class="li"><a href="#monolithic_application_cons" class="toc">Monolithic application cons:</a></span></div></li>
   </ul>
   </li>
  +<li class="level2"><div class="li"><span class="li"><a href="#tool_xxx_is_missing_critical_feature_yyy_what_can_i_do" class="toc">Tool XXX is missing critical feature YYY!  What can I do?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#what_license_does_geda_use" class="toc">What license does gEDA use?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#where_can_i_get_more_information_about_and_download_geda" class="toc">Where can I get more information about and download gEDA?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#okay_how_do_i_start_using_geda" class="toc">Okay, how do I start using gEDA?</a></span></div></li></ul>
  @@ -257,6 +258,31 @@
   
   </div>
   <!-- SECTION [12931-14378] -->
  +<h2><a name="tool_xxx_is_missing_critical_feature_yyy_what_can_i_do" id="tool_xxx_is_missing_critical_feature_yyy_what_can_i_do">Tool XXX is missing critical feature YYY!  What can I do?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Newbies sometimes show up on the gEDA mailing lists and flame the project for missing features, perceived bugs, and supppoesd UI quirks.  The gEDA developers are very interested in receiving constructive feedback and criticism, so we do welcome feature requests and bug reports.  But please keep in mind that the developers are full-time professional EEs or software engineers, and work on gEDA as an unpaid, fun hobby.  Unconstructive or ill-informed flames are not the way to get new features implemented.
  +</p>
  +
  +<p>
  +If you need a new feature implemented in one of the gEDA tools, here are your options: 
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Write it yourself.  The code is open and available for everybody to see, understand, and modify.  If you have implemented a new feature, please <a href="http://sourceforge.net/tracker/?atid=818428&group_id=161080&func=browse"; class="urlextern" title="http://sourceforge.net/tracker/?atid=818428&amp;group_id=161080&amp;func=browse";  rel="nofollow">submit your patches</a> to the project; chances are good that they will be incorporated into the main development branch.</div>
  +</li>
  +</ul>
  +<ul>
  +<li class="level1"><div class="li"> Ask politely on the gEDA e-mail lists.  If your feature request is simple, or of interest to one of the developers, it&rsquo;s possible that somebody will implement your feature.  If it&rsquo;s more complicated, its likely that the feature is already under discussion amongst the developers, but nobody has enough spare time to implement it.  (You can also submit feature requests via the <a href="http://sourceforge.net/tracker/?atid=818429&group_id=161080&func=browse"; class="urlextern" title="http://sourceforge.net/tracker/?atid=818429&amp;group_id=161080&amp;func=browse";  rel="nofollow">SourceForge feature requests tracker.</a>)  Please don&rsquo;t rant or flame on the e-mail lists, since you will likely be flamed right back and you won&rsquo;t get your feature either.  Why waste your time? </div>
  +</li>
  +</ul>
  +<ul>
  +<li class="level1"><div class="li"> If the above possibilites don&rsquo;t work for you, hire an open-source developer to write the feature for you!  It&rsquo;s amazing how often this possibility is ignored.  People willing to pay $25,000 &ndash; $100,000 for commercial EDA tools will balk at paying a teen-age programming whiz $10/hr to re-create the same features in the gEDA Suite.  Why?  If you&rsquo;re an educator, consider hiring some students for a UROP project to work on gEDA.  If you&rsquo;re a businessman, hire a teenager or somebody fresh out of school!  And if you want seasoned help, you can (soon) turn to some of the main gEDA developers who do consulting.  Find them at <a href="http://www.gedaconsulting.com/"; class="urlextern" title="http://www.gedaconsulting.com";  rel="nofollow">www.gedaconsulting.com</a>.  <img src="lib/images/smileys/fixme.gif" class="middle" alt="FIXME" />  </div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [14379-16702] -->
   <h2><a name="what_license_does_geda_use" id="what_license_does_geda_use">What license does gEDA use?</a></h2>
   <div class="level2">
   
  @@ -281,7 +307,7 @@
   </p>
   
   </div>
  -<!-- SECTION [14379-15657] -->
  +<!-- SECTION [16703-17981] -->
   <h2><a name="where_can_i_get_more_information_about_and_download_geda" id="where_can_i_get_more_information_about_and_download_geda">Where can I get more information about and download gEDA?</a></h2>
   <div class="level2">
   
  @@ -306,7 +332,7 @@
   </p>
   
   </div>
  -<!-- SECTION [15658-16858] -->
  +<!-- SECTION [17982-19182] -->
   <h2><a name="okay_how_do_i_start_using_geda" id="okay_how_do_i_start_using_geda">Okay, how do I start using gEDA?</a></h2>
   <div class="level2">
   
  @@ -319,6 +345,6 @@
   </p>
   
   </div>
  -<!-- SECTION [16859-] --></div>
  +<!-- SECTION [19183-] --></div>
   </body>
   </html>
  
  
  
  1.4.6.1   +48 -43    eda/geda/gaf/docs/wiki/geda_file_format_spec.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_file_format_spec.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_file_format_spec.html,v
  retrieving revision 1.4
  retrieving revision 1.4.6.1
  diff -u -b -r1.4 -r1.4.6.1
  --- geda_file_format_spec.html	21 Oct 2006 03:57:46 -0000	1.4
  +++ geda_file_format_spec.html	23 Feb 2007 23:32:02 -0000	1.4.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:file_format_spec?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:file_format_spec?do=export_raw"; />
  -  <meta name="date" content="2006-10-20T23:36:02-0400" />
  +  <meta name="date" content="2007-02-12T20:40:36-0500" />
     <meta name="robots" content="noindex,nofollow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -36,10 +36,7 @@
   <li class="level3"><div class="li"><span class="li"><a href="#picture" class="toc">picture</a></span></div></li>
   <li class="level3"><div class="li"><span class="li"><a href="#box" class="toc">box</a></span></div></li>
   <li class="level3"><div class="li"><span class="li"><a href="#circle" class="toc">circle</a></span></div></li>
  -</ul>
  -</li>
  -<li class="level2"><div class="li"><span class="li"><a href="#arc" class="toc">arc</a></span></div>
  -<ul class="toc">
  +<li class="level3"><div class="li"><span class="li"><a href="#arc" class="toc">arc</a></span></div></li>
   <li class="level3"><div class="li"><span class="li"><a href="#text" class="toc">text</a></span></div></li>
   <li class="level3"><div class="li"><span class="li"><a href="#net" class="toc">net</a></span></div></li>
   <li class="level3"><div class="li"><span class="li"><a href="#bus" class="toc">bus</a></span></div></li>
  @@ -195,7 +192,7 @@
   </li>
   <li class="level1"><div class="li"> fileformat version is just an integer with no minor number.</div>
   </li>
  -<li class="level1"><div class="li"> Valid versions include: 19990601, 19990610, 19990705, 19990829, 19990919, 19991011, 20000220, 20000704, 20001006, 20001217, 20010304, 20010708, 20010722, 20020209, 20020414, 20020527, 20020825, 20021103, 20030223, 20030525, 20030901, 20040111, 20040710, 20041228, 20050313, 20050820, 20060123, 20060824, 20060906, 20061020</div>
  +<li class="level1"><div class="li"> Valid versions include: 19990601, 19990610, 19990705, 19990829, 19990919, 19991011, 20000220, 20000704, 20001006, 20001217, 20010304, 20010708, 20010722, 20020209, 20020414, 20020527, 20020825, 20021103, 20030223, 20030525, 20030901, 20040111, 20040710, 20041228, 20050313, 20050820, 20060123, 20060824, 20060906, 20061020, 20070216</div>
   </li>
   <li class="level1"><div class="li"> <acronym title="Concurrent Versions System">CVS</acronym> or test versions (should not be used): 20030921, 20031004, 20031019, 20031231, 20050814</div>
   </li>
  @@ -210,7 +207,7 @@
   <pre class="code">v 20040111 1</pre>
   
   </div>
  -<!-- SECTION [3505-5269] -->
  +<!-- SECTION [3505-5279] -->
   <h3><a name="line" id="line">line</a></h3>
   <div class="level3">
   
  @@ -299,16 +296,19 @@
   </p>
   
   </div>
  -<!-- SECTION [5270-6504] -->
  +<!-- SECTION [5280-6514] -->
   <h3><a name="picture" id="picture">picture</a></h3>
   <div class="level3">
   
   <p>
   Valid in: Schematic and Symbol files<br/>
  - <strong><code>type x1 y1 width height angle ratio mirrored embedded
  -filename
  -encoded picture data
  -encoded picture end</code></strong> 
  + <strong><code>type x1 y1 width height angle ratio mirrored embedded<br/>
  +
  +filename<br/>
  +
  +[encoded picture data<br/>
  +
  +encoded picture end]</code></strong> 
   </p>
   <table class="inline">
   	<tr>
  @@ -407,7 +407,7 @@
   </p>
   
   </div>
  -<!-- SECTION [6505-9290] -->
  +<!-- SECTION [6515-9308] -->
   <h3><a name="box" id="box">box</a></h3>
   <div class="level3">
   
  @@ -537,7 +537,7 @@
   </p>
   
   </div>
  -<!-- SECTION [9291-11497] -->
  +<!-- SECTION [9309-11515] -->
   <h3><a name="circle" id="circle">circle</a></h3>
   <div class="level3">
   
  @@ -654,9 +654,9 @@
   </p>
   
   </div>
  -<!-- SECTION [11498-13623] -->
  -<h2><a name="arc" id="arc">arc</a></h2>
  -<div class="level2">
  +<!-- SECTION [11516-13641] -->
  +<h3><a name="arc" id="arc">arc</a></h3>
  +<div class="level3">
   
   <p>
   Valid in: Schematic and Symbol files<br/>
  @@ -751,17 +751,22 @@
   </p>
   
   </div>
  -<!-- SECTION [13624-15141] -->
  +<!-- SECTION [13642-15157] -->
   <h3><a name="text" id="text">text</a></h3>
   <div class="level3">
   
   <p>
   Valid in: Schematic and Symbol files<br/>
  - <strong><code>type x y color size visibility show name value angle alignment num lines
  -string line 1
  -string line 2
  -string line 3
  -...
  + <strong><code>type x y color size visibility show_name_value angle alignment num_lines<br/>
  +
  +string line 1<br/>
  +
  +string line 2<br/>
  +
  +string line 3<br/>
  +
  +...<br/>
  +
   string line N</code></strong> 
   </p>
   <table class="inline">
  @@ -824,7 +829,7 @@
   </li>
   </ul>
   </li>
  -<li class="level1"><div class="li"> The show name value is an enumerated type:</div>
  +<li class="level1"><div class="li"> The show_name_value is an enumerated type:</div>
   <ul>
   <li class="level2"><div class="li"> SHOW NAME VALUE = 0 (show both name and value of an attribute)</div>
   </li>
  @@ -834,7 +839,7 @@
   </li>
   </ul>
   </li>
  -<li class="level1"><div class="li"> The show name value field is only valid if the string is an attribute (string has to be in the form: name=value to be considered an attribute).</div>
  +<li class="level1"><div class="li"> The show_name_value field is only valid if the string is an attribute (string has to be in the form: name=value to be considered an attribute).</div>
   </li>
   <li class="level1"><div class="li"> The angle of the text can only take on one of the following values: 0, 90, 180, 270. A value of 270 will always generate upright text.</div>
   </li>
  @@ -882,7 +887,7 @@
   </p>
   
   </div>
  -<!-- SECTION [15142-17785] -->
  +<!-- SECTION [15158-17811] -->
   <h3><a name="net" id="net">net</a></h3>
   <div class="level3">
   
  @@ -895,7 +900,7 @@
   		<th>Field</th><th>Type/unit</th><th>Description</th>
   	</tr>
   	<tr>
  -		<td>type char N</td>
  +		<td>type</td><td>char</td><td>N</td>
   	</tr>
   	<tr>
   		<td>x1</td><td>int/mils</td><td>First X coordinate</td>
  @@ -932,7 +937,7 @@
   </p>
   
   </div>
  -<!-- SECTION [17786-18311] -->
  +<!-- SECTION [17812-18337] -->
   <h3><a name="bus" id="bus">bus</a></h3>
   <div class="level3">
   
  @@ -945,7 +950,7 @@
   		<th>Field</th><th>Type/unit</th><th>Description</th>
   	</tr>
   	<tr>
  -		<td>type char U</td>
  +		<td>type</td><td>char</td><td>U</td>
   	</tr>
   	<tr>
   		<td>x1</td><td>int/mils</td><td>First X coordinate</td>
  @@ -989,7 +994,7 @@
   </p>
   
   </div>
  -<!-- SECTION [18312-19243] -->
  +<!-- SECTION [18338-19269] -->
   <h3><a name="pin" id="pin">pin</a></h3>
   <div class="level3">
   
  @@ -1057,7 +1062,7 @@
   </p>
   
   </div>
  -<!-- SECTION [19244-20240] -->
  +<!-- SECTION [19270-20266] -->
   <h3><a name="component" id="component">component</a></h3>
   <div class="level3">
   
  @@ -1070,7 +1075,7 @@
   		<th>Field</th><th>Type/unit</th><th>Description</th>
   	</tr>
   	<tr>
  -		<td>type char C</td>
  +		<td>type</td><td>char</td><td>C</td>
   	</tr>
   	<tr>
   		<td>x</td><td>int/mils</td><td>Origin X coordinate</td>
  @@ -1120,7 +1125,7 @@
   </p>
   
   </div>
  -<!-- SECTION [20241-21244] -->
  +<!-- SECTION [20267-21270] -->
   <h3><a name="font" id="font">font</a></h3>
   <div class="level3">
   
  @@ -1164,7 +1169,7 @@
   </p>
   
   </div>
  -<!-- SECTION [21245-21765] -->
  +<!-- SECTION [21271-21791] -->
   <h2><a name="colors" id="colors">Colors</a></h2>
   <div class="level2">
   
  @@ -1173,7 +1178,7 @@
   </p>
   <table class="inline">
   	<tr>
  -		<th>Color</th><th>Index</th><th>Object type</th>
  +		<th>Index</th><th>Object type</th>
   	</tr>
   	<tr>
   		<td>0</td><td>BACKGROUND_COLOR</td>
  @@ -1234,29 +1239,29 @@
   </p>
   
   </div>
  -<!-- SECTION [21766-22643] -->
  +<!-- SECTION [21792-22663] -->
   <h2><a name="attributes" id="attributes">Attributes</a></h2>
   <div class="level2">
   
   <p>
  -Attributes are enclosed in f g and can only be text. Attributes are text items which take on the form name=value. If it doesn&rsquo;t have name=value, it&rsquo;s not an attribute. Attributes are attached to the previous object. Here&rsquo;s an example: 
  +Attributes are enclosed in braces {} and can only be text. Attributes are text items which take on the form name=value. If it doesn&rsquo;t have name=value, it&rsquo;s not an attribute. Attributes are attached to the previous object. Here&rsquo;s an example: 
   </p>
   <pre class="code">P 988 500 1300 500 1
  -f
  +{
   T 1000 570 5 8 1 1 0
   pinseq=3
   T 1000 550 5 8 1 1 0
   pinnumber=3
  -g</pre>
  +}</pre>
   
   <p>
  -The object is a pin which has an attribute pinnumber=3 and pinseq=3 (name=value). You can have multiple text objects (both the T ... and text string are required) in between the f g. As of 20021103, you can only attached text items as attributes. Attaching other object types as attributes is unsupported.<br/>
  +The object is a pin which has an attribute pinnumber=3 and pinseq=3 (name=value). You can have multiple text objects (both the T ... and text string are required) in between the braces {}. As of 20021103, you can only attached text items as attributes. Attaching other object types as attributes is unsupported.<br/>
    You can also have &ldquo;toplevel&rdquo; attributes. These attributes are not attached to any object, but instead are just text objects that take on the form name=value.<br/>
    These attributes are useful when you need to convey some info about a schematic page or symbol and need the netlister to have access to this info.
   </p>
   
   </div>
  -<!-- SECTION [22644-23619] -->
  +<!-- SECTION [22664-23651] -->
   <h2><a name="embedded_components" id="embedded_components">Embedded Components</a></h2>
   <div class="level2">
   
  @@ -1275,7 +1280,7 @@
   </p>
   
   </div>
  -<!-- SECTION [23620-24379] -->
  +<!-- SECTION [23652-24411] -->
   <h2><a name="document_revision_history" id="document_revision_history">Document Revision History</a></h2>
   <div class="level2">
   <table class="inline">
  @@ -1295,6 +1300,6 @@
   <br />
   
   </div>
  -<!-- SECTION [24380-] --></div>
  +<!-- SECTION [24412-] --></div>
   </body>
   </html>
  
  
  
  1.2.6.1   +309 -174  eda/geda/gaf/docs/wiki/geda_gschem_ug.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_gschem_ug.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_gschem_ug.html,v
  retrieving revision 1.2
  retrieving revision 1.2.6.1
  diff -u -b -r1.2 -r1.2.6.1
  --- geda_gschem_ug.html	21 Oct 2006 03:57:46 -0000	1.2
  +++ geda_gschem_ug.html	23 Feb 2007 23:32:02 -0000	1.2.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:gschem_ug?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:gschem_ug?do=export_raw"; />
  -  <meta name="date" content="2006-09-30T04:42:19-0400" />
  +  <meta name="date" content="2007-01-05T12:16:18-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -36,7 +36,8 @@
   <ul class="toc">
   <li class="level3"><div class="li"><span class="li"><a href="#geda_tools_suite_cd-rom" class="toc">&quot;gEDA Tools Suite&quot; CD-ROM</a></span></div></li>
   <li class="level3"><div class="li"><span class="li"><a href="#debian_distributions" class="toc">Debian distributions</a></span></div></li>
  -<li class="level3"><div class="li"><span class="li"><a href="#red_hat_distributions" class="toc">Red Hat distributions</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#fedora_and_redhat_distributions" class="toc">Fedora and RedHat distributions</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#suse_and_opensuse_distributions" class="toc">SuSE and OpenSuSE distributions</a></span></div></li>
   <li class="level3"><div class="li"><span class="li"><a href="#mac_osx_distributions" class="toc">Mac OSX distributions</a></span></div></li>
   </ul>
   </li>
  @@ -230,7 +231,7 @@
   </p>
   
   <p>
  -The latest version of this document may be found at: <a href="http://www.someplace.come/some-page.html"; class="urlextern" title="http://www.someplace.come/some-page.html";  rel="nofollow">http://www.someplace.come/some-page.html</a>
  +The latest version of this document may be found at: <a href="http://geda.seul.org/wiki/geda:gschem_ug"; class="urlextern" title="http://geda.seul.org/wiki/geda:gschem_ug";  rel="nofollow">http://geda.seul.org/wiki/geda:gschem_ug</a>
   </p>
   
   <p>
  @@ -448,7 +449,7 @@
   <ol>
   <li class="level1"><div class="li"> Read Rick Moen&rsquo;s <a href="http://www.catb.org/~esr/faqs/smart-questions.html"; class="urlextern" title="http://www.catb.org/~esr/faqs/smart-questions.html";  rel="nofollow">How To Ask Questions The Smart Way</a>, about how to ask for help. This is a must read for everybody.</div>
   </li>
  -<li class="level1"><div class="li"> Read this document. I know, it&rsquo;s a lot to expect engineers to actually read a user&rsquo;s guide. The latest version of this document is maintained on the gEDA web-site at <span class="hilited">TBD</span>. The information should be here. If it isn&rsquo;t, comment to the fact in one of the on-line document&rsquo;s &ldquo;Discussion&rdquo; areas (at the bottom of each wiki-page). Helpful comments are clear, to the point, and may even contain the wording that should be inserted into the document.</div>
  +<li class="level1"><div class="li"> Read this document. I know, it&rsquo;s a lot to expect engineers to actually read a user&rsquo;s guide. The latest version of this document is maintained on the gEDA web-site at <a href="http://geda.seul.org/wiki/geda:gschem_ug"; class="urlextern" title="http://geda.seul.org/wiki/geda:gschem_ug";  rel="nofollow">gschem User Guide</a>. The information should be here. If it isn&rsquo;t, comment to the fact in one of the on-line document&rsquo;s &ldquo;Discussion&rdquo; areas (at the bottom of each wiki-page). Helpful comments are clear, to the point, and may even contain the wording that should be inserted into the document.</div>
   </li>
   <li class="level1"><div class="li"> Read the <a href="http://geda.seul.org/dokuwiki/doku.php?id=geda:faq-gschem"; class="urlextern" title="http://geda.seul.org/dokuwiki/doku.php?id=geda:faq-gschem";  rel="nofollow">gschem Frequently Asked Questions (FAQ)</a> wiki-page. This on-line document is updated often to reflect user and developer experiences with <strong>gschem</strong>.</div>
   </li>
  @@ -473,7 +474,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [9783-12964] -->
  +<!-- SECTION [9783-13020] -->
   <h1><a name="installing_gschem" id="installing_gschem">Installing gschem</a></h1>
   <div class="level1">
   
  @@ -482,7 +483,7 @@
   </p>
   
   </div>
  -<!-- SECTION [12965-13223] -->
  +<!-- SECTION [13021-13279] -->
   <h2><a name="latest_stable" id="latest_stable">Latest Stable</a></h2>
   <div class="level2">
   
  @@ -492,7 +493,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13224-13708] -->
  +<!-- SECTION [13280-13764] -->
   <h3><a name="geda_tools_suite_cd-rom" id="geda_tools_suite_cd-rom">&quot;gEDA Tools Suite&quot; CD-ROM</a></h3>
   <div class="level3">
   
  @@ -513,7 +514,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13709-15395] -->
  +<!-- SECTION [13765-15451] -->
   <h3><a name="debian_distributions" id="debian_distributions">Debian distributions</a></h3>
   <div class="level3">
   
  @@ -522,16 +523,41 @@
   </p>
   
   </div>
  -<!-- SECTION [15396-15744] -->
  -<h3><a name="red_hat_distributions" id="red_hat_distributions">Red Hat distributions</a></h3>
  +<!-- SECTION [15452-15800] -->
  +<h3><a name="fedora_and_redhat_distributions" id="fedora_and_redhat_distributions">Fedora and RedHat distributions</a></h3>
   <div class="level3">
   
   <p>
  -For Red Hat distributions (and possibly others) you may wish to download the latest RPM binaries]] prepared by Wojciech Kazubski.
  +For RedHat distributions you may wish to download the <a href="http://www.sp5pbe.waw.pl/~sp5smk/software.html"; class="urlextern" title="http://www.sp5pbe.waw.pl/~sp5smk/software.html";  rel="nofollow"> RPM binaries</a> prepared by Wojciech Kazubski.
  +</p>
  +
  +<p>
  +Since Fedora Core 5, major parts of gEDA are available from <a href="http://fedoraproject.org/wiki/Extras?highlight(CategoryExtras)" class="urlextern" title="http://fedoraproject.org/wiki/Extras?highlight(CategoryExtras)"  rel="nofollow">Fedora Core Extra</a>.
  +</p>
  +
  +<p>
  +For more informations read the <a href="geda_fedora_rpm_installation.html" class="wikilink1" title="geda:fedora_rpm_installation"> fedora rpm installation notes</a>.
  +</p>
  +
  +</div>
  +<!-- SECTION [15801-16240] -->
  +<h3><a name="suse_and_opensuse_distributions" id="suse_and_opensuse_distributions">SuSE and OpenSuSE distributions</a></h3>
  +<div class="level3">
  +
  +<p>
  +For SuSE and OpenSuSE distributions there are rpm packages for several gEDA related programms. They&rsquo;ve been prepared by Werner Hoch using the OpenSuSE Build Service.
  +</p>
  +
  +<p>
  +You can install the rpm packages with YaST, yum or any other installation tool. The packages are located at <a href="ftp://ftp-1.gwdg.de/pub/opensuse/repositories/home%3A/werner2101/"; class="urlextern" title="ftp://ftp-1.gwdg.de/pub/opensuse/repositories/home%3A/werner2101/";  rel="nofollow">ftp://ftp-1.gwdg.de/pub/opensuse/repositories/home%3A/werner2101/</a>.
  +</p>
  +
  +<p>
  +For more informations read the <a href="geda_suse_rpm_installation.html" class="wikilink1" title="geda:suse_rpm_installation"> SuSE rpm installation notes</a>.
   </p>
   
   </div>
  -<!-- SECTION [15745-15907] -->
  +<!-- SECTION [16241-16723] -->
   <h3><a name="mac_osx_distributions" id="mac_osx_distributions">Mac OSX distributions</a></h3>
   <div class="level3">
   
  @@ -540,7 +566,7 @@
   </p>
   
   </div>
  -<!-- SECTION [15908-16087] -->
  +<!-- SECTION [16724-16903] -->
   <h2><a name="cvs_unstable_testing" id="cvs_unstable_testing">CVS Unstable/Testing</a></h2>
   <div class="level2">
   
  @@ -556,7 +582,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [16088-16978] -->
  +<!-- SECTION [16904-17794] -->
   <h1><a name="configuring_gschem" id="configuring_gschem">Configuring gschem</a></h1>
   <div class="level1">
   
  @@ -595,7 +621,7 @@
   <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">; Comment in this scheme code if you want automatic numbering when
   ; placing new component and copying components
   ;
  -(load (string-append gedadatarc &quot;/scheme/auto-uref.scm&quot;))
  +(load (string-append gedadata &quot;/scheme/auto-uref.scm&quot;))
   (add-hook! add-component-hook auto-uref)</font></pre>
   </p>
   <ul>
  @@ -624,7 +650,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [16979-22701] -->
  +<!-- SECTION [17795-23515] -->
   <h2><a name="gschemrc" id="gschemrc">gschemrc</a></h2>
   <div class="level2">
   
  @@ -634,7 +660,7 @@
   </p>
   
   </div>
  -<!-- SECTION [22702-23003] -->
  +<!-- SECTION [23516-23817] -->
   <h2><a name="gafrc" id="gafrc">gafrc</a></h2>
   <div class="level2">
   
  @@ -644,12 +670,12 @@
   </p>
   
   </div>
  -<!-- SECTION [23004-23293] -->
  +<!-- SECTION [23818-24107] -->
   <h1><a name="running_gschem" id="running_gschem">Running gschem</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [23294-23323] -->
  +<!-- SECTION [24108-24137] -->
   <h2><a name="confirming_gschem_is_installed" id="confirming_gschem_is_installed">Confirming gschem is installed</a></h2>
   <div class="level2">
   
  @@ -746,7 +772,7 @@
   </p>
   
   </div>
  -<!-- SECTION [23324-29029] -->
  +<!-- SECTION [24138-29843] -->
   <h2><a name="the_shell_prompt" id="the_shell_prompt">The Shell Prompt</a></h2>
   <div class="level2">
   <table class="inline">
  @@ -828,7 +854,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [29030-32564] -->
  +<!-- SECTION [29844-33378] -->
   <h2><a name="backups" id="backups">Backups</a></h2>
   <div class="level2">
   
  @@ -837,7 +863,7 @@
   </p>
   
   </div>
  -<!-- SECTION [32565-32716] -->
  +<!-- SECTION [33379-33530] -->
   <h3><a name="classic_linux_backups" id="classic_linux_backups">Classic Linux backups</a></h3>
   <div class="level3">
   
  @@ -891,12 +917,12 @@
   </p>
   
   </div>
  -<!-- SECTION [32717-35623] -->
  +<!-- SECTION [33531-36437] -->
   <h3><a name="incremental_backups" id="incremental_backups">Incremental backups</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [35624-35657] -->
  +<!-- SECTION [36438-36471] -->
   <h3><a name="relevant_configuration_settings" id="relevant_configuration_settings">Relevant configuration settings</a></h3>
   <div class="level3">
   
  @@ -915,7 +941,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [35658-36699] -->
  +<!-- SECTION [36472-37513] -->
   <h2><a name="on-line_documentation" id="on-line_documentation">On-line documentation</a></h2>
   <div class="level2">
   
  @@ -1047,7 +1073,7 @@
   </p>
   
   </div>
  -<!-- SECTION [36700-41169] -->
  +<!-- SECTION [37514-41983] -->
   <h1><a name="electrical_connectivity" id="electrical_connectivity">Electrical Connectivity</a></h1>
   <div class="level1">
   
  @@ -1059,7 +1085,7 @@
   </p>
   
   </div>
  -<!-- SECTION [41170-42886] -->
  +<!-- SECTION [41984-43700] -->
   <h1><a name="components_symbols_objects_attributes" id="components_symbols_objects_attributes">Components &amp; Symbols &amp; Objects &amp; Attributes</a></h1>
   <div class="level1">
   
  @@ -1068,7 +1094,7 @@
   </p>
   
   </div>
  -<!-- SECTION [42887-43035] -->
  +<!-- SECTION [43701-43849] -->
   <h2><a name="components" id="components">Components</a></h2>
   <div class="level2">
   
  @@ -1091,7 +1117,7 @@
   </p>
   
   </div>
  -<!-- SECTION [43036-44850] -->
  +<!-- SECTION [43850-45664] -->
   <h2><a name="symbols" id="symbols">Symbols</a></h2>
   <div class="level2">
   
  @@ -1102,7 +1128,7 @@
   </p>
   
   </div>
  -<!-- SECTION [44851-45192] -->
  +<!-- SECTION [45665-46006] -->
   <h2><a name="objects" id="objects">Objects</a></h2>
   <div class="level2">
   
  @@ -1127,22 +1153,25 @@
   </ul>
   
   </div>
  -<!-- SECTION [45193-45305] -->
  +<!-- SECTION [46007-46119] -->
   <h2><a name="attributes" id="attributes">Attributes</a></h2>
   <div class="level2">
   
   <p>
   An attribute is text which is in the form <code>name=value</code> (there are no spaces to the left or right of the name,value pair). An attribute can be either attached to an object or unattached. Attributes are used extensively in the gEDA project to convey information (e.g., device name, pin numbers, hidden nets, and unit reference numbers). Check <a href="http://www.geda.seul.org/docs/current/attributes/index.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/attributes/index.html";  rel="nofollow">gEDA/gaf Master Attribute Document</a> for a complete list of attributes.<br/>
  - There are three kinds of attributes:</p>
  -<dl>
  -<dt><span class='term'> Attached attributes</span></dt>
  -<dd>These are attributes which take on the standard form and are attached to some object (pin, net, component, or box etc...) to associate a value with the attribute. For example: a pin number associated with a pin. <span class="hilited">These attributes are usually yellow in color.</span></dd>
  -<dt><span class='term'> Unattached attributes</span></dt>
  -<dd>These are attributes which take on the standard form, but are not attached to any object and usually convey some information which is global in nature. For example: a <code>device=</code> attribute (which lives inside symbols) and specifies what device the entire symbol represents. These attributes are also known as floating or toplevel attributes.</dd>
  -<dt><span class='term'> Promoted attributes</span></dt>
  -<dd>These are unattached attributes in the symbol&rsquo;s definition that get turned into attached attributes in the component&rsquo;s definition when the symbol is instantiated as a component when placed in the schematic. If you place an unattached visible attribute inside a symbol and then instantiate that symbol, then that unattached attribute gets &ldquo;promoted&rdquo;; that is, it becomes an attached attribute. This mechanism of attribute reattachement (from within a symbol) is known as attribute promotion.</dd>
  -</dl>
  -<p>There are some gotchas about attribute promotion:
  + There are three kinds of attributes:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <strong>Attached attributes:</strong> These are attributes which take on the standard form and are attached to some object (pin, net, component, or box etc...) to associate a value with the attribute. For example: a pin number associated with a pin. <span class="hilited">These attributes are usually yellow in color.</span></div>
  +</li>
  +<li class="level1"><div class="li"> <strong>Unattached attributes:</strong> These are attributes which take on the standard form, but are not attached to any object and usually convey some information which is global in nature. For example: a <code>device=</code> attribute (which lives inside symbols) and specifies what device the entire symbol represents. These attributes are also known as floating or toplevel attributes.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>Promoted attributes:</strong> These are unattached attributes in the symbol&rsquo;s definition that get turned into attached attributes in the component&rsquo;s definition when the symbol is instantiated as a component when placed in the schematic. If you place an unattached visible attribute inside a symbol and then instantiate that symbol, then that unattached attribute gets &ldquo;promoted&rdquo;; that is, it becomes an attached attribute. This mechanism of attribute reattachement (from within a symbol) is known as attribute promotion.</div>
  +</li>
  +</ul>
  +
  +<p>
  + There are some gotchas about attribute promotion:
   </p>
   <ul>
   <li class="level1"><div class="li"> Promotion <strong>only</strong> happens when the symbol is first placed. That means that if you place a symbol (e.g., sym1) and then change it on disk (by adding or removing new unattached attributes), existing sym1&rsquo;s will not reflect these new unattached attributes (i.e., they won&rsquo;t get promoted) in any schematic.</div>
  @@ -1155,23 +1184,23 @@
   
   <p>
    Now, in order to make everybody happy, this attribute promotion behavior is configurable.<br/>
  - The system-gschemrc file defines: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(attribute-promotion &quot;enabled&quot;)</font></pre>
  + The system-gschemrc file defines: 
   </p>
  -
  +<pre class="code lisp"><span class="br0">&#40;</span>attribute-promotion <span class="st0">"enabled"</span><span class="br0">&#41;</span></pre>
   <p>
   which enables attribute promotion.<br/>
  - If you override the system-gschemrc&rsquo;s default promote-invisible setting by adding: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(promote-invisible &quot;enabled&quot;)</font></pre>
  + If you override the system-gschemrc&rsquo;s default promote-invisible setting by adding: 
   </p>
  -
  +<pre class="code lisp"><span class="br0">&#40;</span>promote-invisible <span class="st0">"enabled"</span><span class="br0">&#41;</span></pre>
   <p>
   to either your user&rsquo;s ~/gschemrc or local &lsquo;pwd&rsquo;/gschemrc file, invisible unattached attributes will also be promoted <span class="hilited">(and in memory removed)</span>.
   </p>
   
   <p>
   However, if you do this, component slotting will break because <strong>gschem</strong> expects certain unattached attributes inside the symbol <span class="hilited">(in memory even though they are invisible)</span>.<br/>
  - So you can add: <pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">(keep-invisible &quot;enabled&quot;)</font></pre>
  + So you can add: 
   </p>
  -
  +<pre class="code lisp"><span class="br0">&#40;</span>keep-invisible <span class="st0">"enabled"</span><span class="br0">&#41;</span></pre>
   <p>
   to either your user&rsquo;s ~/gschemrc or local &lsquo;pwd&rsquo;/gschemrc file. This is enabled by default, but has no effect unless promote-invisible is enabled.
   </p>
  @@ -1181,7 +1210,7 @@
   </p>
   
   </div>
  -<!-- SECTION [45306-48692] -->
  +<!-- SECTION [46120-49524] -->
   <h1><a name="the_main_window" id="the_main_window">The Main Window</a></h1>
   <div class="level1">
   <table class="inline">
  @@ -1211,7 +1240,7 @@
   </p>
   
   </div>
  -<!-- SECTION [48693-51606] -->
  +<!-- SECTION [49525-52438] -->
   <h1><a name="the_status_window" id="the_status_window">The Status Window</a></h1>
   <div class="level1">
   <table class="inline">
  @@ -1226,7 +1255,7 @@
   </p>
   
   </div>
  -<!-- SECTION [51607-51769] -->
  +<!-- SECTION [52439-52601] -->
   <h1><a name="the_schematic_file" id="the_schematic_file">The Schematic File</a></h1>
   <div class="level1">
   
  @@ -1247,7 +1276,7 @@
   </p>
   
   </div>
  -<!-- SECTION [51770-52539] -->
  +<!-- SECTION [52602-53371] -->
   <h1><a name="the_symbol_file" id="the_symbol_file">The Symbol File</a></h1>
   <div class="level1">
   
  @@ -1270,7 +1299,7 @@
   </p>
   
   </div>
  -<!-- SECTION [52540-53526] -->
  +<!-- SECTION [53372-54358] -->
   <h1><a name="symbol_libraries" id="symbol_libraries">Symbol Libraries</a></h1>
   <div class="level1">
   
  @@ -1279,7 +1308,7 @@
   </p>
   
   </div>
  -<!-- SECTION [53527-53680] -->
  +<!-- SECTION [54359-54512] -->
   <h1><a name="the_log_file" id="the_log_file">The Log File</a></h1>
   <div class="level1">
   
  @@ -1288,7 +1317,7 @@
   </p>
   
   </div>
  -<!-- SECTION [53681-53958] -->
  +<!-- SECTION [54513-54790] -->
   <h1><a name="grips" id="grips">Grips</a></h1>
   <div class="level1">
   
  @@ -1311,12 +1340,12 @@
   </ul>
   
   </div>
  -<!-- SECTION [53959-54668] -->
  +<!-- SECTION [54791-55500] -->
   <h1><a name="menu_operations" id="menu_operations">Menu Operations</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [54669-54698] -->
  +<!-- SECTION [55501-55530] -->
   <h2><a name="file" id="file">File</a></h2>
   <div class="level2">
   
  @@ -1343,7 +1372,7 @@
   </p>
   
   </div>
  -<!-- SECTION [54699-55852] -->
  +<!-- SECTION [55531-56684] -->
   <h3><a name="new_window_fw" id="new_window_fw">New Window (fw)</a></h3>
   <div class="level3">
   
  @@ -1352,7 +1381,7 @@
   </p>
   
   </div>
  -<!-- SECTION [55853-56018] -->
  +<!-- SECTION [56685-56850] -->
   <h3><a name="new_page_fn" id="new_page_fn">New Page (fn)</a></h3>
   <div class="level3">
   
  @@ -1361,7 +1390,7 @@
   </p>
   
   </div>
  -<!-- SECTION [56019-56206] -->
  +<!-- SECTION [56851-57038] -->
   <h3><a name="open_page..._fo" id="open_page..._fo">Open Page... (fo)</a></h3>
   <div class="level3">
   
  @@ -1387,7 +1416,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [56207-56494] -->
  +<!-- SECTION [57039-57326] -->
   <h3><a name="close_page_pc" id="close_page_pc">Close Page (pc)</a></h3>
   <div class="level3">
   
  @@ -1396,7 +1425,7 @@
   </p>
   
   </div>
  -<!-- SECTION [56495-56640] -->
  +<!-- SECTION [57327-57472] -->
   <h3><a name="revert_page_pr" id="revert_page_pr">Revert Page (pr)</a></h3>
   <div class="level3">
   
  @@ -1405,7 +1434,7 @@
   </p>
   
   </div>
  -<!-- SECTION [56641-56902] -->
  +<!-- SECTION [57473-57734] -->
   <h3><a name="save_page_fs" id="save_page_fs">Save Page (fs)</a></h3>
   <div class="level3">
   
  @@ -1423,7 +1452,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [56903-57550] -->
  +<!-- SECTION [57735-58382] -->
   <h3><a name="save_page_as..._fa" id="save_page_as..._fa">Save Page As... (fa)</a></h3>
   <div class="level3">
   
  @@ -1435,7 +1464,7 @@
   </p>
   
   </div>
  -<!-- SECTION [57551-57998] -->
  +<!-- SECTION [58383-58830] -->
   <h3><a name="save_all_fl_fl" id="save_all_fl_fl">Save All (fl) (fl)</a></h3>
   <div class="level3">
   
  @@ -1444,7 +1473,7 @@
   </p>
   
   </div>
  -<!-- SECTION [57999-58103] -->
  +<!-- SECTION [58831-58935] -->
   <h3><a name="print..._fp" id="print..._fp">Print... (fp)</a></h3>
   <div class="level3">
   
  @@ -1488,7 +1517,7 @@
   </p>
   
   </div>
  -<!-- SECTION [58104-59150] -->
  +<!-- SECTION [58936-59982] -->
   <h3><a name="write_png..._fi" id="write_png..._fi">Write PNG... (fi)</a></h3>
   <div class="level3">
   
  @@ -1525,7 +1554,7 @@
   </p>
   
   </div>
  -<!-- SECTION [59151-59945] -->
  +<!-- SECTION [59983-60777] -->
   <h3><a name="execute_script..._ft" id="execute_script..._ft">Execute Script... (ft)</a></h3>
   <div class="level3">
   
  @@ -1534,7 +1563,7 @@
   </p>
   
   </div>
  -<!-- SECTION [59946-60017] -->
  +<!-- SECTION [60778-60849] -->
   <h3><a name="close_window_fc" id="close_window_fc">Close Window (fc)</a></h3>
   <div class="level3">
   
  @@ -1543,7 +1572,7 @@
   </p>
   
   </div>
  -<!-- SECTION [60018-60256] -->
  +<!-- SECTION [60850-61088] -->
   <h3><a name="quit_alt-q" id="quit_alt-q">Quit (Alt-q)</a></h3>
   <div class="level3">
   
  @@ -1552,7 +1581,7 @@
   </p>
   
   </div>
  -<!-- SECTION [60257-60449] -->
  +<!-- SECTION [61089-61281] -->
   <h2><a name="edit" id="edit">Edit</a></h2>
   <div class="level2">
   
  @@ -1561,7 +1590,7 @@
   </p>
   
   </div>
  -<!-- SECTION [60450-60566] -->
  +<!-- SECTION [61282-61398] -->
   <h3><a name="undo_shift-u" id="undo_shift-u">Undo (shift-u)</a></h3>
   <div class="level3">
   
  @@ -1582,7 +1611,7 @@
   </p>
   
   </div>
  -<!-- SECTION [60567-61525] -->
  +<!-- SECTION [61399-62357] -->
   <h3><a name="redo_shift-r" id="redo_shift-r">Redo (shift-r)</a></h3>
   <div class="level3">
   
  @@ -1592,7 +1621,7 @@
   </p>
   
   </div>
  -<!-- SECTION [61526-61834] -->
  +<!-- SECTION [62358-62666] -->
   <h3><a name="select_mode_s" id="select_mode_s">Select Mode (s)</a></h3>
   <div class="level3">
   
  @@ -1615,7 +1644,7 @@
   </p>
   
   </div>
  -<!-- SECTION [61835-64242] -->
  +<!-- SECTION [62667-65074] -->
   <h3><a name="edit..._ee" id="edit..._ee">Edit... (ee)</a></h3>
   <div class="level3">
   
  @@ -1664,7 +1693,7 @@
   </p>
   
   </div>
  -<!-- SECTION [64243-65437] -->
  +<!-- SECTION [65075-66269] -->
   <h3><a name="edit_text..._ex" id="edit_text..._ex">Edit Text... (ex)</a></h3>
   <div class="level3">
   
  @@ -1702,7 +1731,7 @@
   </p>
   
   </div>
  -<!-- SECTION [65438-66296] -->
  +<!-- SECTION [66270-67128] -->
   <h3><a name="copy_mode_ec" id="copy_mode_ec">Copy Mode (ec)</a></h3>
   <div class="level3">
   
  @@ -1735,7 +1764,7 @@
   </p>
   
   </div>
  -<!-- SECTION [66297-67221] -->
  +<!-- SECTION [67129-68053] -->
   <h3><a name="move_mode_em" id="move_mode_em">Move Mode (em)</a></h3>
   <div class="level3">
   
  @@ -1781,7 +1810,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [67222-68375] -->
  +<!-- SECTION [68054-69207] -->
   <h3><a name="delete_delete-key" id="delete_delete-key">Delete (Delete-key)</a></h3>
   <div class="level3">
   
  @@ -1801,7 +1830,7 @@
   </p>
   
   </div>
  -<!-- SECTION [68376-68694] -->
  +<!-- SECTION [69208-69526] -->
   <h3><a name="rotate_90_mode_er" id="rotate_90_mode_er">Rotate 90 Mode (er)</a></h3>
   <div class="level3">
   
  @@ -1825,7 +1854,7 @@
   </p>
   
   </div>
  -<!-- SECTION [68695-69284] -->
  +<!-- SECTION [69527-70116] -->
   <h3><a name="mirror_mode_ei" id="mirror_mode_ei">Mirror Mode (ei)</a></h3>
   <div class="level3">
   
  @@ -1849,7 +1878,7 @@
   </p>
   
   </div>
  -<!-- SECTION [69285-69941] -->
  +<!-- SECTION [70117-70773] -->
   <h3><a name="slot..._e_shift-s" id="slot..._e_shift-s">Slot... (e shift-s)</a></h3>
   <div class="level3">
   
  @@ -1874,7 +1903,7 @@
   </p>
   
   </div>
  -<!-- SECTION [69942-71158] -->
  +<!-- SECTION [70774-71990] -->
   <h3><a name="color..._eo" id="color..._eo">Color... (eo)</a></h3>
   <div class="level3">
   
  @@ -1931,7 +1960,7 @@
   </p>
   
   </div>
  -<!-- SECTION [71159-72117] -->
  +<!-- SECTION [71991-72949] -->
   <h3><a name="lock_el_unlock_e_shift-l" id="lock_el_unlock_e_shift-l">Lock (el) / Unlock (e shift-l)</a></h3>
   <div class="level3">
   
  @@ -1955,7 +1984,7 @@
   </p>
   
   </div>
  -<!-- SECTION [72118-73656] -->
  +<!-- SECTION [72950-74488] -->
   <h3><a name="line_width_type..._ew" id="line_width_type..._ew">Line Width &amp; Type... (ew)</a></h3>
   <div class="level3">
   
  @@ -1991,7 +2020,7 @@
   </p>
   
   </div>
  -<!-- SECTION [73657-74272] -->
  +<!-- SECTION [74489-75104] -->
   <h3><a name="fill_type..._ef" id="fill_type..._ef">Fill Type... (ef)</a></h3>
   <div class="level3">
   
  @@ -2025,7 +2054,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [74273-74613] -->
  +<!-- SECTION [75105-75445] -->
   <h3><a name="symbol_translate..._et" id="symbol_translate..._et">Symbol Translate... (et)</a></h3>
   <div class="level3">
   
  @@ -2065,7 +2094,7 @@
   </p>
   
   </div>
  -<!-- SECTION [74614-75778] -->
  +<!-- SECTION [75446-76610] -->
   <h3><a name="embed_component_picture_eb" id="embed_component_picture_eb">Embed Component/Picture (eb)</a></h3>
   <div class="level3">
   
  @@ -2088,7 +2117,7 @@
   </p>
   
   </div>
  -<!-- SECTION [75779-76808] -->
  +<!-- SECTION [76611-77640] -->
   <h3><a name="unembed_component_picture_eu" id="unembed_component_picture_eu">Unembed Component/Picture (eu)</a></h3>
   <div class="level3">
   
  @@ -2108,7 +2137,7 @@
   </p>
   
   </div>
  -<!-- SECTION [76809-77398] -->
  +<!-- SECTION [77641-78230] -->
   <h3><a name="update_component_ep" id="update_component_ep">Update Component (ep)</a></h3>
   <div class="level3">
   
  @@ -2148,7 +2177,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [77399-79208] -->
  +<!-- SECTION [78231-80040] -->
   <h3><a name="show_hide_inv_text_en" id="show_hide_inv_text_en">Show/Hide Inv Text (en)</a></h3>
   <div class="level3">
   
  @@ -2214,7 +2243,7 @@
   </p>
   
   </div>
  -<!-- SECTION [79209-82036] -->
  +<!-- SECTION [80041-82868] -->
   <h3><a name="make_inv_text_vis_ev" id="make_inv_text_vis_ev">Make Inv Text Vis (ev)</a></h3>
   <div class="level3">
   
  @@ -2235,7 +2264,7 @@
   </p>
   
   </div>
  -<!-- SECTION [82037-82700] -->
  +<!-- SECTION [82869-83532] -->
   <h2><a name="buffer" id="buffer">Buffer</a></h2>
   <div class="level2">
   
  @@ -2244,7 +2273,7 @@
   </p>
   
   </div>
  -<!-- SECTION [82701-82820] -->
  +<!-- SECTION [83533-83652] -->
   <h3><a name="copy_into_1_2_3_4_5_yc" id="copy_into_1_2_3_4_5_yc">Copy into 1/2/3/4/5 (yc)</a></h3>
   <div class="level3">
   
  @@ -2259,7 +2288,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [82821-82973] -->
  +<!-- SECTION [83653-83805] -->
   <h3><a name="cut_into_1_2_3_4_5_yu" id="cut_into_1_2_3_4_5_yu">Cut into 1/2/3/4/5 (yu)</a></h3>
   <div class="level3">
   
  @@ -2268,7 +2297,7 @@
   </p>
   
   </div>
  -<!-- SECTION [82974-83075] -->
  +<!-- SECTION [83806-83907] -->
   <h3><a name="paste_from_1_2_3_4_5_yp" id="paste_from_1_2_3_4_5_yp">Paste from 1/2/3/4/5 (yp)</a></h3>
   <div class="level3">
   
  @@ -2291,12 +2320,12 @@
   </ol>
   
   </div>
  -<!-- SECTION [83076-83429] -->
  +<!-- SECTION [83908-84261] -->
   <h2><a name="view" id="view">View</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [83430-83447] -->
  +<!-- SECTION [84262-84279] -->
   <h3><a name="redraw_vr" id="redraw_vr">Redraw (vr)</a></h3>
   <div class="level3">
   
  @@ -2306,7 +2335,7 @@
   </p>
   
   </div>
  -<!-- SECTION [83448-83698] -->
  +<!-- SECTION [84280-84530] -->
   <h3><a name="pan_x" id="pan_x">Pan (x)</a></h3>
   <div class="level3">
   
  @@ -2353,7 +2382,7 @@
   </p>
   
   </div>
  -<!-- SECTION [83699-85495] -->
  +<!-- SECTION [84531-86327] -->
   <h3><a name="zoom_box_w" id="zoom_box_w">Zoom Box (w)</a></h3>
   <div class="level3">
   
  @@ -2380,7 +2409,7 @@
   </p>
   
   </div>
  -<!-- SECTION [85496-86376] -->
  +<!-- SECTION [86328-87208] -->
   <h3><a name="zoom_extents_ve" id="zoom_extents_ve">Zoom Extents (ve)</a></h3>
   <div class="level3">
   
  @@ -2399,7 +2428,7 @@
   </p>
   
   </div>
  -<!-- SECTION [86377-86743] -->
  +<!-- SECTION [87209-87575] -->
   <h3><a name="zoom_in_z" id="zoom_in_z">Zoom In (z)</a></h3>
   <div class="level3">
   
  @@ -2436,7 +2465,7 @@
   </p>
   
   </div>
  -<!-- SECTION [86744-87905] -->
  +<!-- SECTION [87576-88737] -->
   <h3><a name="zoom_out_z" id="zoom_out_z">Zoom Out (Z)</a></h3>
   <div class="level3">
   
  @@ -2473,7 +2502,7 @@
   </p>
   
   </div>
  -<!-- SECTION [87906-89094] -->
  +<!-- SECTION [88738-89926] -->
   <h3><a name="zoom_full_vf" id="zoom_full_vf">Zoom Full (vf)</a></h3>
   <div class="level3">
   
  @@ -2513,52 +2542,52 @@
   </p>
   
   </div>
  -<!-- SECTION [89095-89873] -->
  +<!-- SECTION [89927-90705] -->
   <h2><a name="page" id="page">Page</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [89874-89892] -->
  +<!-- SECTION [90706-90724] -->
   <h3><a name="manager..._pm" id="manager..._pm">Manager... (pm)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89893-89920] -->
  +<!-- SECTION [90725-90752] -->
   <h3><a name="next" id="next">Next (&gt;)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89921-89941] -->
  +<!-- SECTION [90753-90773] -->
   <h3><a name="previous" id="previous">Previous (&lt;)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89942-89966] -->
  +<!-- SECTION [90774-90798] -->
   <h3><a name="new_pe" id="new_pe">New (pe)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89967-89987] -->
  +<!-- SECTION [90799-90819] -->
   <h3><a name="revert_pr" id="revert_pr">Revert (pr)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89988-90011] -->
  +<!-- SECTION [90820-90843] -->
   <h3><a name="close_pc" id="close_pc">Close (pc)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [90012-90034] -->
  +<!-- SECTION [90844-90866] -->
   <h3><a name="discard_pd" id="discard_pd">Discard (pd)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [90035-90059] -->
  +<!-- SECTION [90867-90891] -->
   <h2><a name="add" id="add">Add</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [90060-90076] -->
  +<!-- SECTION [90892-90908] -->
   <h3><a name="component..._i" id="component..._i">Component... (i)</a></h3>
   <div class="level3">
   
  @@ -2607,7 +2636,7 @@
   </p>
   
   </div>
  -<!-- SECTION [90077-91553] -->
  +<!-- SECTION [90909-92385] -->
   <h3><a name="net_n" id="net_n">Net (n)</a></h3>
   <div class="level3">
   
  @@ -2690,7 +2719,7 @@
   </p>
   
   </div>
  -<!-- SECTION [91554-94145] -->
  +<!-- SECTION [92386-94977] -->
   <h3><a name="bus_u" id="bus_u">Bus (u)</a></h3>
   <div class="level3">
   
  @@ -2700,7 +2729,7 @@
   </p>
   
   </div>
  -<!-- SECTION [94146-94395] -->
  +<!-- SECTION [94978-95227] -->
   <h3><a name="attribute..._aa" id="attribute..._aa">Attribute... (aa)</a></h3>
   <div class="level3">
   
  @@ -2731,7 +2760,7 @@
   </p>
   
   </div>
  -<!-- SECTION [94396-96108] -->
  +<!-- SECTION [95228-96940] -->
   <h3><a name="text..._at" id="text..._at">Text... (at)</a></h3>
   <div class="level3">
   
  @@ -2757,18 +2786,14 @@
   
   <p>
    If you leave the <strong>Add | Text...</strong> dialog box open you can place the same text item again and again by just clicking Apply (or pressing Enter) and moving the mouse into the main window.<br/>
  - The following settings in the system-gschemrc file, the user&rsquo;s ~/gschemrc file, or the local &lsquo;pwd&rsquo;/gschemrc file control how text is displayed:</p>
  -<dl>
  -<dt><span class='term'> text-origin-marker</span></dt>
  -<dd>Controls if the text origin markers are displayed.</dd>
  -<dt><span class='term'> text-size</span></dt>
  -<dd>Sets the default text size.</dd>
  -<dt><span class='term'> text-caps-style</span></dt>
  -<dd>Sets the default caps style used for the display of text</dd>
  -<dt><span class='term'> output-text</span></dt>
  -<dd>Controls how text is rendered to postscript</dd>
  -</dl>
  -<p>Text which is placed will be automatically capitalized. Please see the Resource file section below on how to control this behavior.<br/>
  + The following settings in the system-gschemrc file, the user&rsquo;s ~/gschemrc file, or the local &lsquo;pwd&rsquo;/gschemrc file control how text is displayed: 
  +</p>
  +<pre class="code lisp"><span class="co1">; text-origin-marker : Controls if the text origin markers are displayed.</span>
  +<span class="co1">; text-size : Sets the default text size.</span>
  +<span class="co1">; text-caps-style : Sets the default caps style used for the display of text</span>
  +<span class="co1">; output-text : Controls how text is rendered to postscript</span></pre>
  +<p>
  +Text which is placed will be automatically capitalized. Please see the Resource file section below on how to control this behavior.<br/>
    To cancel a text place press the last mouse button or the ESC key.<br/>
    If you create text in the form name=value, then you are creating attributes. gEDA allows for general attributes to be free floating (or unattached). It is a good idea to change the color of these floating attributes to the current attribute color (which is also called the attached attribute color) to signify that this text item is an attribute.<br/>
    You can rotate the text before you place it by clicking the middle button. For every button click, the text will be rotate 90 degrees.<br/>
  @@ -2776,7 +2801,7 @@
   </p>
   
   </div>
  -<!-- SECTION [96109-97900] -->
  +<!-- SECTION [96941-98744] -->
   <h3><a name="line_l" id="line_l">Line (l)</a></h3>
   <div class="level3">
   
  @@ -2820,7 +2845,7 @@
   </p>
   
   </div>
  -<!-- SECTION [97901-98987] -->
  +<!-- SECTION [98745-99831] -->
   <h3><a name="box_b" id="box_b">Box (b)</a></h3>
   <div class="level3">
   
  @@ -2850,7 +2875,7 @@
   </p>
   
   </div>
  -<!-- SECTION [98988-99740] -->
  +<!-- SECTION [99832-100584] -->
   <h3><a name="circle_ai" id="circle_ai">Circle (ai)</a></h3>
   <div class="level3">
   
  @@ -2882,7 +2907,7 @@
   </p>
   
   </div>
  -<!-- SECTION [99741-100625] -->
  +<!-- SECTION [100585-101469] -->
   <h3><a name="arc_ar" id="arc_ar">Arc (ar)</a></h3>
   <div class="level3">
   
  @@ -2918,7 +2943,7 @@
   </p>
   
   </div>
  -<!-- SECTION [100626-101718] -->
  +<!-- SECTION [101470-102562] -->
   <h3><a name="pin_ap" id="pin_ap">Pin (ap)</a></h3>
   <div class="level3">
   
  @@ -2951,7 +2976,7 @@
   </p>
   
   </div>
  -<!-- SECTION [101719-102906] -->
  +<!-- SECTION [102563-103750] -->
   <h3><a name="picture..._ag" id="picture..._ag">Picture... (ag)</a></h3>
   <div class="level3">
   
  @@ -2985,12 +3010,12 @@
   </p>
   
   </div>
  -<!-- SECTION [102907-103902] -->
  +<!-- SECTION [103751-104746] -->
   <h2><a name="hierarchy" id="hierarchy">Hierarchy</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [103903-103925] -->
  +<!-- SECTION [104747-104769] -->
   <h3><a name="down_schematic_hd" id="down_schematic_hd">Down Schematic (Hd)</a></h3>
   <div class="level3">
   
  @@ -3012,7 +3037,7 @@
   </p>
   
   </div>
  -<!-- SECTION [103926-105538] -->
  +<!-- SECTION [104770-106382] -->
   <h3><a name="down_symbol_hs" id="down_symbol_hs">Down Symbol (Hs)</a></h3>
   <div class="level3">
   
  @@ -3023,7 +3048,7 @@
   </p>
   
   </div>
  -<!-- SECTION [105539-105861] -->
  +<!-- SECTION [106383-106705] -->
   <h3><a name="up_hu" id="up_hu">Up (Hu)</a></h3>
   <div class="level3">
   
  @@ -3032,7 +3057,7 @@
   </p>
   
   </div>
  -<!-- SECTION [105862-105976] -->
  +<!-- SECTION [106706-106820] -->
   <h3><a name="documentation_ho" id="documentation_ho">Documentation (Ho)</a></h3>
   <div class="level3">
   
  @@ -3047,12 +3072,12 @@
   </p>
   
   </div>
  -<!-- SECTION [105977-107061] -->
  +<!-- SECTION [106821-107905] -->
   <h2><a name="attributes1" id="attributes1">Attributes</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [107062-107085] -->
  +<!-- SECTION [107906-107929] -->
   <h3><a name="attach_ta" id="attach_ta">Attach (ta)</a></h3>
   <div class="level3">
   
  @@ -3075,7 +3100,7 @@
   </p>
   
   </div>
  -<!-- SECTION [107086-107893] -->
  +<!-- SECTION [107930-108737] -->
   <h3><a name="detach_td" id="detach_td">Detach (td)</a></h3>
   <div class="level3">
   
  @@ -3096,7 +3121,7 @@
   </p>
   
   </div>
  -<!-- SECTION [107894-108500] -->
  +<!-- SECTION [108738-109344] -->
   <h3><a name="show_value_tv" id="show_value_tv">Show Value (tv)</a></h3>
   <div class="level3">
   
  @@ -3117,7 +3142,7 @@
   </p>
   
   </div>
  -<!-- SECTION [108501-109039] -->
  +<!-- SECTION [109345-109883] -->
   <h3><a name="show_name_tn" id="show_name_tn">Show Name (tn)</a></h3>
   <div class="level3">
   
  @@ -3138,7 +3163,7 @@
   </p>
   
   </div>
  -<!-- SECTION [109040-109577] -->
  +<!-- SECTION [109884-110421] -->
   <h3><a name="show_both_tb" id="show_both_tb">Show Both (tb)</a></h3>
   <div class="level3">
   
  @@ -3159,7 +3184,7 @@
   </p>
   
   </div>
  -<!-- SECTION [109578-110115] -->
  +<!-- SECTION [110422-110959] -->
   <h3><a name="toggle_visibility_tt" id="toggle_visibility_tt">Toggle Visibility (tt)</a></h3>
   <div class="level3">
   
  @@ -3181,32 +3206,142 @@
   </p>
   
   </div>
  -<!-- SECTION [110116-110811] -->
  +<!-- SECTION [110960-111655] -->
   <h3><a name="find_specific_text..._t_shift-f" id="find_specific_text..._t_shift-f">Find Specific Text... (t shift-f)</a></h3>
   <div class="level3">
   
  +<p>
  + This operation allows you to find a text element in a schematic.
  +</p>
  +
  +<p>
  +To use this operation:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> open the dialog and enter the substring you want to search for</div>
  +</li>
  +<li class="level1"><div class="li"> select whether you only want to search in the current page or in the whole hierarchy of a multipage schematic</div>
  +</li>
  +<li class="level1"><div class="li"> press the find button</div>
  +</li>
  +</ol>
  +
  +<p>
  + If the text is found in the schematic, gschem will zoom and pan to that element. Pressing the find button again will find the next matching text element. If no more text is found the dialog closes.
  +</p>
  +
  +<p>
  +<strong>Note:</strong> gschem will find hidden text elements, too. If you don&rsquo;t see the found element, try to show the hidden text.
  +</p>
  +
   </div>
  -<!-- SECTION [110812-110856] -->
  +<!-- SECTION [111656-112316] -->
   <h3><a name="hide_specific_text..._th" id="hide_specific_text..._th">Hide Specific Text... (th)</a></h3>
   <div class="level3">
   
  +<p>
  + This operation allows you to hide text elements.
  +</p>
  +
  +<p>
  +To use this operation:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> open the dialog and enter your text string</div>
  +</li>
  +<li class="level1"><div class="li"> press the apply button</div>
  +</li>
  +</ol>
  +
  +<p>
  + gschem will hide all text elements that start with the given search string.
  +</p>
  +
  +<p>
  +This operation is useful if you like to hide pintype and pinseq attributes when creating symbols.
  +</p>
  +
   </div>
  -<!-- SECTION [110857-110894] -->
  +<!-- SECTION [112317-112678] -->
   <h3><a name="show_specific_text..._t_shift-h" id="show_specific_text..._t_shift-h">Show Specific Text... (t shift-h)</a></h3>
   <div class="level3">
   
  +<p>
  + This operation allows you to show hidden text elements.
  +</p>
  +
  +<p>
  +To use this operation:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> open the dialog and enter your text string</div>
  +</li>
  +<li class="level1"><div class="li"> press the apply button</div>
  +</li>
  +</ol>
  +
  +<p>
  + gschem will unhide all hidden text elements that starts with the given search string.
  +</p>
  +
  +<p>
  +This operation is useful if you like to see only one specific attribute in the whole schematic (the footprint attribute for example). Use this operation together with the <em>Hide Specific Text</em> operation.
  +</p>
  +
   </div>
  -<!-- SECTION [110895-110938] -->
  +<!-- SECTION [112679-113170] -->
   <h3><a name="autonumber_text..._tu" id="autonumber_text..._tu">Autonumber Text... (tu)</a></h3>
   <div class="level3">
   
  +<p>
  + This operation allows you to renumber text elements in your schematics and symbols.
  +</p>
  +
  +<p>
  +You can use it to:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> renumber pinseq and pinnumber attributes when creating symbols</div>
  +</li>
  +<li class="level1"><div class="li"> renumber components in a schematic (the refdes attribute)</div>
  +</li>
  +<li class="level1"><div class="li"> renumber netnames to create address and data busses</div>
  +</li>
  +</ul>
  +
  +<p>
  + To use that operation: 
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> select or enter the text elements you like to renumber in the <em>search for</em> entry. searchtext with a &ldquo;?&rdquo; at the end will match trailing &ldquo;?&rdquo; and trailing numbers in the text elements you are searching for. searchtext with a &ldquo;*&rdquo; at the end will match the given searchtext, followed by arbitrary text and followed by a trailing &ldquo;?&rdquo; or trailing numbers.</div>
  +</li>
  +<li class="level1"><div class="li"> the <em>autonumber text in</em> option specifies where to search for the given searchstring.</div>
  +</li>
  +<li class="level1"><div class="li"> the <em>skip numbers found in</em> option specifies in which region you don&rsquo;t want have duplicate numbers. <strong>Example:</strong> If you renumber your components you usually want uniq numbers on a page or even uniq number in the whole hierarchy of a multipage schematic. If you renumber netnames of a bus you usually want to apply the new numbers only to selected net elements. Thus you can have multiple equal netnames on a schematic sheet.</div>
  +</li>
  +<li class="level1"><div class="li"> the <em>overwrite existing numbers</em> option specifies whether you only want to number unnumbered elements or if you like to renumber elements too.</div>
  +</li>
  +<li class="level1"><div class="li"> The <em>starting number</em> entry allows you to specify the start number you like. It is common to number each schematic sheet starting with numbers like 100, 200 and so on. For bus netnames you may need numbers starting at 8, 16.</div>
  +</li>
  +<li class="level1"><div class="li"> The <em>sort order</em> tells in which direction you like to number your elements. For components you usually use the <em>diagonal</em>, the <em>top to bottom</em> or the <em>left to right</em> option. For bus netnames you may need <em>right to left</em> and <em>bottom to top</em> numbering. The <em>file order</em> means that the found text elements are not sorted before renumbering them. The fileorder is usually the order you have placed the objects to your sheet.</div>
  +</li>
  +<li class="level1"><div class="li"> the <em>remove numbers</em> options is a special option. It removes all numbers from the text elements you have selected in the <em>Scope</em> section of the dialog.</div>
  +</li>
  +<li class="level1"><div class="li"> the <em>automatic slotting</em> option is the second special option. When renumbering components it will add the slot attribute to slotted components like logik gates.</div>
  +</li>
  +</ol>
  +
  +<p>
  + Pressing the apply button will start the autonumbering action.
  +</p>
  +
   </div>
  -<!-- SECTION [110939-110973] -->
  +<!-- SECTION [113171-115608] -->
   <h2><a name="options" id="options">Options</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [110974-110994] -->
  +<!-- SECTION [115609-115629] -->
   <h3><a name="text_size..._ot" id="text_size..._ot">Text Size... (ot)</a></h3>
   <div class="level3">
   
  @@ -3216,7 +3351,7 @@
   </p>
   
   </div>
  -<!-- SECTION [110995-111307] -->
  +<!-- SECTION [115630-115942] -->
   <h3><a name="toggle_grid_on_off_og" id="toggle_grid_on_off_og">Toggle Grid On/Off (og)</a></h3>
   <div class="level3">
   
  @@ -3225,7 +3360,7 @@
   </p>
   
   </div>
  -<!-- SECTION [111308-111401] -->
  +<!-- SECTION [115943-116036] -->
   <h3><a name="toggle_snap_on_off_os" id="toggle_snap_on_off_os">Toggle Snap On/Off (os)</a></h3>
   <div class="level3">
   
  @@ -3236,7 +3371,7 @@
   </p>
   
   </div>
  -<!-- SECTION [111402-111853] -->
  +<!-- SECTION [116037-116488] -->
   <h3><a name="snap_grid_spacing..._os" id="snap_grid_spacing..._os">Snap Grid Spacing... (oS)</a></h3>
   <div class="level3">
   
  @@ -3246,7 +3381,7 @@
   </p>
   
   </div>
  -<!-- SECTION [111854-112157] -->
  +<!-- SECTION [116489-116792] -->
   <h3><a name="toggle_outline_box_oa" id="toggle_outline_box_oa">Toggle Outline/Box (oa)</a></h3>
   <div class="level3">
   
  @@ -3255,7 +3390,7 @@
   </p>
   
   </div>
  -<!-- SECTION [112158-112467] -->
  +<!-- SECTION [116793-117102] -->
   <h3><a name="toggle_net_rubberband_or" id="toggle_net_rubberband_or">Toggle Net/Rubberband (or)</a></h3>
   <div class="level3">
   
  @@ -3264,7 +3399,7 @@
   </p>
   
   </div>
  -<!-- SECTION [112468-112551] -->
  +<!-- SECTION [117103-117186] -->
   <h3><a name="show_log_window_ol" id="show_log_window_ol">Show Log Window (ol)</a></h3>
   <div class="level3">
   
  @@ -3273,7 +3408,7 @@
   </p>
   
   </div>
  -<!-- SECTION [112552-112722] -->
  +<!-- SECTION [117187-117357] -->
   <h3><a name="show_coord_window..._oc" id="show_coord_window..._oc">Show Coord Window... (oc)</a></h3>
   <div class="level3">
   
  @@ -3282,12 +3417,12 @@
   </p>
   
   </div>
  -<!-- SECTION [112723-112927] -->
  +<!-- SECTION [117358-117562] -->
   <h2><a name="help" id="help">Help</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [112928-112945] -->
  +<!-- SECTION [117563-117580] -->
   <h3><a name="about..._ha" id="about..._ha">About... (ha)</a></h3>
   <div class="level3">
   
  @@ -3304,7 +3439,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [112946-113148] -->
  +<!-- SECTION [117581-117783] -->
   <h3><a name="manual..._hm" id="manual..._hm">Manual... (hm)</a></h3>
   <div class="level3">
   
  @@ -3313,7 +3448,7 @@
   </p>
   
   </div>
  -<!-- SECTION [113149-113362] -->
  +<!-- SECTION [117784-117997] -->
   <h3><a name="hotkeys..._hh" id="hotkeys..._hh">Hotkeys... (hh)</a></h3>
   <div class="level3">
   
  @@ -3322,7 +3457,7 @@
   </p>
   
   </div>
  -<!-- SECTION [113363-113490] -->
  +<!-- SECTION [117998-118125] -->
   <h3><a name="component..._ho" id="component..._ho">Component... (Ho)</a></h3>
   <div class="level3">
   
  @@ -3337,7 +3472,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [113491-114273] -->
  +<!-- SECTION [118126-118908] -->
   <h1><a name="appendix_a_--_heavy_vs_light_symbol_libraries" id="appendix_a_--_heavy_vs_light_symbol_libraries">Appendix A -- Heavy vs Light Symbol Libraries</a></h1>
   <div class="level1">
   
  @@ -3346,7 +3481,7 @@
   </p>
   
   </div>
  -<!-- SECTION [114274-114467] -->
  +<!-- SECTION [118909-119102] -->
   <h1><a name="appendix_b_--_printing_schematics_and_symbols" id="appendix_b_--_printing_schematics_and_symbols">Appendix B -- Printing Schematics and Symbols</a></h1>
   <div class="level1">
   
  @@ -3355,7 +3490,7 @@
   </p>
   
   </div>
  -<!-- SECTION [114468-114546] -->
  +<!-- SECTION [119103-119181] -->
   <h1><a name="appendix_c_--_writing_guile_scripts" id="appendix_c_--_writing_guile_scripts">Appendix C -- Writing guile Scripts</a></h1>
   <div class="level1">
   
  @@ -3364,7 +3499,7 @@
   </p>
   
   </div>
  -<!-- SECTION [114547-114615] -->
  +<!-- SECTION [119182-119250] -->
   <h1><a name="appendix_d_--_i_want_to_build_a_printed_circuit_board" id="appendix_d_--_i_want_to_build_a_printed_circuit_board">Appendix D -- I Want To Build A Printed Circuit Board</a></h1>
   <div class="level1">
   
  @@ -3397,7 +3532,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [114616-115405] -->
  +<!-- SECTION [119251-120040] -->
   <h1><a name="appendix_e_--_i_want_to_simulate_my_design" id="appendix_e_--_i_want_to_simulate_my_design">Appendix E -- I Want To Simulate My Design</a></h1>
   <div class="level1">
   
  @@ -3432,7 +3567,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [115406-116285] -->
  +<!-- SECTION [120041-120920] -->
   <h1><a name="appendix_f_--_change_gschemdoc_user-defined_preferences" id="appendix_f_--_change_gschemdoc_user-defined_preferences">Appendix F -- Change gschemdoc User-Defined Preferences</a></h1>
   <div class="level1">
   
  @@ -3460,7 +3595,7 @@
   </p>
   
   </div>
  -<!-- SECTION [116286-117502] -->
  +<!-- SECTION [120921-122137] -->
   <h1><a name="appendix_g_--_breaking_a_large_symbol_into_smaller_symbols" id="appendix_g_--_breaking_a_large_symbol_into_smaller_symbols">Appendix G -- Breaking a Large Symbol Into Smaller Symbols</a></h1>
   <div class="level1">
   
  @@ -3469,7 +3604,7 @@
   </p>
   
   </div>
  -<!-- SECTION [117503-117594] -->
  +<!-- SECTION [122138-122229] -->
   <h1><a name="appendix_h_--_definition_of_terms" id="appendix_h_--_definition_of_terms">Appendix H -- Definition of Terms</a></h1>
   <div class="level1">
   
  @@ -3545,6 +3680,6 @@
   </p>
   
   </div>
  -<!-- SECTION [117595-] --></div>
  +<!-- SECTION [122230-] --></div>
   </body>
   </html>
  
  
  
  1.3.6.1   +6 -2      eda/geda/gaf/docs/wiki/geda_icarus_ieee1364.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_ieee1364.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_ieee1364.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_icarus_ieee1364.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_icarus_ieee1364.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -521,10 +521,14 @@
   when viewed by reasonable viewers.
   
   
  -$Id: geda_icarus_ieee1364.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
  +$Id: geda_icarus_ieee1364.html,v 1.3.6.1 2007/02/23 23:32:02 pcjc2 Exp $
   $Log: geda_icarus_ieee1364.html,v $
  -Revision 1.3  2006/10/21 03:57:46  ahvezda
  -Updated the wiki documentation from the official wiki on geda.seul.org
  +Revision 1.3.6.1  2007/02/23 23:32:02  pcjc2
  +sync with trunk
  +
  +Revision 1.4  2007/02/13 01:50:14  ahvezda
  +Updated wiki snapshot from the live gEDA wiki.  Phase I (only changed
  +content; new content coming in next phase).
   
   Revision 1.17  2003/07/15 03:49:22  steve
    Spelling fixes.
  
  
  
  1.3.6.1   +2 -2      eda/geda/gaf/docs/wiki/geda_icarus_mp.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_mp.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_mp.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_icarus_mp.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_icarus_mp.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -22,7 +22,7 @@
   
   <h1><a name="icarus_verilog_compiler_man-page" id="icarus_verilog_compiler_man-page">Icarus Verilog compiler man-page</a></h1>
   <div class="level1">
  -<pre class="code">iverilog(1)		 $Date: 2006/10/21 03:57:46 $		   iverilog(1)
  +<pre class="code">iverilog(1)		 $Date: 2007/02/23 23:32:02 $		   iverilog(1)
   
   
   
  @@ -376,7 +376,7 @@
   
   
   
  -Version			 $Date: 2006/10/21 03:57:46 $		   iverilog(1)</pre>
  +Version			 $Date: 2007/02/23 23:32:02 $		   iverilog(1)</pre>
   
   </div>
   </div>
  
  
  
  1.3.6.1   +1 -1      eda/geda/gaf/docs/wiki/geda_icarus_opcodes.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_opcodes.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_opcodes.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_icarus_opcodes.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_icarus_opcodes.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -25,7 +25,7 @@
   <pre class="code">/*
    * Copyright (c) 2001-2003 Stephen Williams (steve@xxxxxxxxxx)
    *
  - *  $Id: geda_icarus_opcodes.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
  + *  $Id: geda_icarus_opcodes.html,v 1.3.6.1 2007/02/23 23:32:02 pcjc2 Exp $
    */
   
   
  
  
  
  1.3.6.1   +2 -2      eda/geda/gaf/docs/wiki/geda_icarus_vpi_mp.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_vpi_mp.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_vpi_mp.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_icarus_vpi_mp.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_icarus_vpi_mp.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -22,7 +22,7 @@
   
   <h1><a name="compile_front_end_for_vpi_modules_man-page" id="compile_front_end_for_vpi_modules_man-page">Compile front end for VPI modules man-page</a></h1>
   <div class="level1">
  -<pre class="code">iverilog-vpi(1)		 $Date: 2006/10/21 03:57:46 $	       iverilog-vpi(1)
  +<pre class="code">iverilog-vpi(1)		 $Date: 2007/02/23 23:32:02 $	       iverilog-vpi(1)
   
   
   
  @@ -142,7 +142,7 @@
   
   
   
  -Version			 $Date: 2006/10/21 03:57:46 $	       iverilog-vpi(1)</pre>
  +Version			 $Date: 2007/02/23 23:32:02 $	       iverilog-vpi(1)</pre>
   
   </div>
   </div>
  
  
  
  1.3.6.1   +1 -1      eda/geda/gaf/docs/wiki/geda_icarus_vpi_within_vvp.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_vpi_within_vvp.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_vpi_within_vvp.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_icarus_vpi_within_vvp.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_icarus_vpi_within_vvp.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -25,7 +25,7 @@
   <pre class="code">/*
    * Copyright (c) 2001 Stephen Williams (steve@xxxxxxxxxx)
    *
  - *  $Id: geda_icarus_vpi_within_vvp.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
  + *  $Id: geda_icarus_vpi_within_vvp.html,v 1.3.6.1 2007/02/23 23:32:02 pcjc2 Exp $
    */
   
   
  
  
  
  1.3.6.1   +2 -2      eda/geda/gaf/docs/wiki/geda_icarus_vvp_runtime.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_vvp_runtime.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_vvp_runtime.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_icarus_vvp_runtime.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_icarus_vvp_runtime.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -22,7 +22,7 @@
   
   <h1><a name="icarus_verilog_vvp_runtime_engine_man-page" id="icarus_verilog_vvp_runtime_engine_man-page">Icarus Verilog vvp runtime engine man-page</a></h1>
   <div class="level1">
  -<pre class="code">vvp(1)			 $Date: 2006/10/21 03:57:46 $			vvp(1)
  +<pre class="code">vvp(1)			 $Date: 2007/02/23 23:32:02 $			vvp(1)
   
   
   
  @@ -166,7 +166,7 @@
   
   
   
  -Version			 $Date: 2006/10/21 03:57:46 $			vvp(1)</pre>
  +Version			 $Date: 2007/02/23 23:32:02 $			vvp(1)</pre>
   
   </div>
   </div>
  
  
  
  1.3.6.1   +1 -1      eda/geda/gaf/docs/wiki/geda_icarus_vvp_simulation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_vvp_simulation.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_vvp_simulation.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_icarus_vvp_simulation.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_icarus_vvp_simulation.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -25,7 +25,7 @@
   <pre class="code">/*
    * Copyright (c) 2001 Stephen Williams (steve@xxxxxxxxxx)
    *
  - *  $Id: geda_icarus_vvp_simulation.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
  + *  $Id: geda_icarus_vvp_simulation.html,v 1.3.6.1 2007/02/23 23:32:02 pcjc2 Exp $
    */
   
   VVP SIMULATION ENGINE
  
  
  
  1.3.6.1   +5 -1      eda/geda/gaf/docs/wiki/geda_icarus_xnf.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_xnf.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_xnf.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_icarus_xnf.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_icarus_xnf.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -268,8 +268,12 @@
   
   
    $Log: geda_icarus_xnf.html,v $
  - Revision 1.3  2006/10/21 03:57:46  ahvezda
  - Updated the wiki documentation from the official wiki on geda.seul.org
  + Revision 1.3.6.1  2007/02/23 23:32:02  pcjc2
  + sync with trunk
  +
  + Revision 1.4  2007/02/13 01:50:14  ahvezda
  + Updated wiki snapshot from the live gEDA wiki.  Phase I (only changed
  + content; new content coming in next phase).
   
    Revision 1.16  2003/07/15 03:49:22  steve
     Spelling fixes.
  
  
  
  1.3.6.1   +6 -2      eda/geda/gaf/docs/wiki/geda_igarus_fpga_lcg.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_igarus_fpga_lcg.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_igarus_fpga_lcg.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_igarus_fpga_lcg.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_igarus_fpga_lcg.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -25,7 +25,7 @@
   <pre class="code">FPGA LOADABLE CODE GENERATOR FOR Icarus Verilog
   
     Copyright 2001 Stephen Williams
  -  $Id: geda_igarus_fpga_lcg.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
  +  $Id: geda_igarus_fpga_lcg.html,v 1.3.6.1 2007/02/23 23:32:02 pcjc2 Exp $
   
   The FPGA code generator supports a variety of FPGA devices, writing
   XNF or EDIF depending on the target. You can select the architecture
  @@ -211,8 +211,12 @@
   
   ---
   $Log: geda_igarus_fpga_lcg.html,v $
  -Revision 1.3  2006/10/21 03:57:46  ahvezda
  -Updated the wiki documentation from the official wiki on geda.seul.org
  +Revision 1.3.6.1  2007/02/23 23:32:02  pcjc2
  +sync with trunk
  +
  +Revision 1.4  2007/02/13 01:50:14  ahvezda
  +Updated wiki snapshot from the live gEDA wiki.  Phase I (only changed
  +content; new content coming in next phase).
   
   Revision 1.12  2005/09/19 21:45:36  steve
    Spelling patches from Larry.
  
  
  
  1.3.6.1   +39 -187   eda/geda/gaf/docs/wiki/geda_installation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_installation.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_installation.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_installation.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_installation.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:installation?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:installation?do=export_raw"; />
  -  <meta name="date" content="2006-10-03T19:53:01-0400" />
  +  <meta name="date" content="2007-01-06T05:06:47-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -26,22 +26,12 @@
   <ul class="toc">
   <li class="level1"><div class="li"><span class="li"><a href="#installation_help" class="toc">Installation help</a></span></div>
   <ul class="toc">
  -<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_install_the_geda_suite" class="toc">How do I install the gEDA Suite?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_uninstall_the_geda_suite" class="toc">How do I uninstall the gEDA Suite?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#why_would_i_want_to_uninstall_the_geda_suite" class="toc">Why would I want to uninstall the gEDA Suite?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#aaaargh_i_put_the_cd_into_my_reader_and_mounted_the_cd_but_nothing_happened" class="toc">Aaaargh! I put the CD into my reader, and mounted the CD, but nothing happened!</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#help_me_i_m_trying_to_install_using_the_cd_rom_but_the_install_wizard_says_i_have_an_error" class="toc">Help me! I'm trying to install using the CD ROM, but the install wizard says I have an error!</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#waaaa_the_installation_ran_to_completion_but_i_get_a_segfault_or_other_problem_when_i_run_gschem_or_gattrib_or_gnetlist_or" class="toc">Waaaa! The installation ran to completion, but I get a segfault (or other problem) when I run gschem (or gattrib, or gnetlist, or. . . )!</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#fedora_core_4_install_notes" class="toc">Fedora Core 4 install notes</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#fedora_core_3_install_notes" class="toc">Fedora Core 3 install notes</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#fedora_core_2_install_notes" class="toc">Fedora Core 2 install notes</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#fedora_core_1_install_notes" class="toc">Fedora Core 1 install notes</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#suse_9.3_install_notes" class="toc">Suse 9.3 install notes</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#suse_10.0_install_notes" class="toc">Suse 10.0 install notes</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#suse_10.1_install_notes" class="toc">Suse 10.1 install notes</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#debian_install_notes" class="toc">Debian install notes</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#ubuntu_install_notes" class="toc">Ubuntu install notes</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#windows_install_notes" class="toc">Windows install notes</a></span></div></li></ul>
  +<li class="level2"><div class="li"><span class="li"><a href="#debian_distributions" class="toc">Debian distributions</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#fedora_and_redhat_distributions" class="toc">Fedora and RedHat distributions</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#suse_and_opensuse_distributions" class="toc">SuSE and OpenSuSE distributions</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#mac_osx_distributions" class="toc">Mac OSX distributions</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#geda_tools_suite_cd-rom" class="toc">&quot;gEDA Tools Suite&quot; CD-ROM</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#cvs_unstable_testing" class="toc">CVS Unstable/Testing</a></span></div></li></ul>
   </li></ul>
   </div>
   </div>
  @@ -55,232 +45,94 @@
   
   </div>
   <!-- SECTION [1-136] -->
  -<h2><a name="how_do_i_install_the_geda_suite" id="how_do_i_install_the_geda_suite">How do I install the gEDA Suite?</a></h2>
  +<h2><a name="debian_distributions" id="debian_distributions">Debian distributions</a></h2>
   <div class="level2">
   
   <p>
  -The easiest way to install the gEDA Suite is to grab the gEDA Suite CD ROM and use it. The instructions are contained in the README available on the <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">downloads page</a>.  <strong><em>Note that the CD ROM installer assumes that you are running the Gnome desktop!  The same is true of the gEDA tools:  They use the GTK widget set which underlies Gnome.  If you are running KDE, you need to at least get the Gnome libraries installed on your machine before trying to run the installer.</em></strong>
  -</p>
  -
  -<p>
  -The gEDA Suite CD holds the tarballs of more than one dozen popular gEDA applications. It also incorporates a <acronym title="Graphical User Interface">GUI</acronym>-based install wizard which checks your system configuration, asks you a few questions, and then oversees the compilation and installation of the different gEDA applications. The install wizard just automates the normal â??./configure &amp;&amp; make &amp;&amp; make installâ?? process used to build GNU software from source. Therefore, it is more or less platform independent (as long as you are running Linux).
  -</p>
  -
  -<p>
  -In the event that the install wizard canâ??t automatically install the gEDA Suite, you can still get the source tarballs off the CD and build them manually. The instructions are available from the download web page, as well as in the INSTALL file on the CD.
  -</p>
  -
  -<p>
  -Also, people have created RedHat RPMs, Debian Debs, and Mac OSX Fink packages if you prefer to install that way. These binary distributions are available on the <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">downloads page</a>. Finally, source tarballs for all programs are also available on the <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">downloads page</a>.
  -</p>
  -
  -<p>
  -By the way, itâ??s always a good idea to install gEDA into its own directory. That way, when you want to upgrade the package you just need to delete the directory and re-install. (This advice is true for any large suite of applications in unix.) More on this below.
  -</p>
  -
  -<p>
  -Finally, if the instructions here arenâ??t enough, David Hart has placed a useful and detailed <a href="http://www.offramp.com/Lab-Install/doku.php?id=fc4#create_user_geda_account_and_install_geda_tool_suite"; class="urlextern" title="http://www.offramp.com/Lab-Install/doku.php?id=fc4#create_user_geda_account_and_install_geda_tool_suite";  rel="nofollow">guide for configuring and installing gEDA</a> (for Fedora Core 4, but probably helpful with other distros too), as well as a <a href="http://www.offramp.com/Lab-Install/doku.php?id=fc4#geda_tutorial"; class="urlextern" title="http://www.offramp.com/Lab-Install/doku.php?id=fc4#geda_tutorial";  rel="nofollow">gEDA Tutorial</a> for new users.
  -</p>
  -
  -</div>
  -<!-- SECTION [137-2495] -->
  -<h2><a name="how_do_i_uninstall_the_geda_suite" id="how_do_i_uninstall_the_geda_suite">How do I uninstall the gEDA Suite?</a></h2>
  -<div class="level2">
  -
  -<p>
  -As of this writing, no advanced method to uninstall the gEDA Suite exists. Unlike a certain commercial operating system, Linux (and unix) were not designed with the goal of easy package management in mind, and we all suffer with this legacy.
  -</p>
  -
  -<p>
  -Therefore, we recommend that you install the gEDA Suite into its own special directory. For example, the CD Installer will place the Suiteâ??s executables into <strong><code>${HOME}/geda-install</code></strong> by default. Then, if you need to uninistall the gEDA Suite, you can just delete the entire directory.
  -</p>
  -
  -<p>
  -<span class="hilited">(More advanced methods to install/uninstall packages on Linux/unix also exist. Could somebody please write about them here?)</span>
  -</p>
  -
  -</div>
  -<!-- SECTION [2496-3207] -->
  -<h2><a name="why_would_i_want_to_uninstall_the_geda_suite" id="why_would_i_want_to_uninstall_the_geda_suite">Why would I want to uninstall the gEDA Suite?</a></h2>
  -<div class="level2">
  -
  -<p>
  -Different applications belonging to gEDA/gaf all use the same shared library, libgeda.so. Things in the library change from one gEDA release to another. Therefore, applications are prevented from linking to libgeda.so if their release codes donâ??t match.
  -</p>
  -
  -<p>
  -If you need to upgrade one application in gEDA/gaf, you will need to first uninstall your old version, and then install an entirely new set of applications, including the library and all components of gEDA/gaf.
  -</p>
  -
  -<p>
  -Alternately, you can install the new gEDA/gaf into a new directory, and then edit your <strong><code>${PATH}</code></strong>, <strong><code>${PKG_CONFIG_PATH}</code></strong>, and <strong><code>${LD_LIBRARY_PATH}</code></strong> environment variables to remove the old directory, and point to the new one. Make sure you do this <strong>before</strong> you try installing the new gEDA/gaf.
  -</p>
  -
  -</div>
  -<!-- SECTION [3208-4045] -->
  -<h2><a name="aaaargh_i_put_the_cd_into_my_reader_and_mounted_the_cd_but_nothing_happened" id="aaaargh_i_put_the_cd_into_my_reader_and_mounted_the_cd_but_nothing_happened">Aaaargh! I put the CD into my reader, and mounted the CD, but nothing happened!</a></h2>
  -<div class="level2">
  -
  -<p>
  - Amazingly enough, some people simply copy the installer .iso file using â??cpâ?? onto a blank CD, and then try to use it. This wonâ??t work. You need to â??burnâ?? a CD with the .iso in a way which writes the whole filesystem directly onto the CDROM. The linux/unix command to do this is usually â??cdrecordâ??, or perhaps a <acronym title="Graphical User Interface">GUI</acronym> derivative of this utility. Donâ??t just â??cpâ?? the .iso file onto a blank CD!
  -</p>
  -
  -<p>
  -Many modern Linux distributions will not automatically run executables on installed media. This is a security precaution. To overcome this, you need to mount the CD in a way which grants permission for executables to run. For example, in Gentoo and Debian you should mount the CD ROM this way: 
  -</p>
  -<pre class="code">mount -o exec -t iso9660 /dev/cdrom /mnt/cdrom</pre>
  -
  -<p>
  -The detailed flag or mount point relevant to your distribution might be a little different; read the manual for mount (â??man mountâ??) if you have any questions about how to do this.
  -</p>
  -
  -<p>
  -After you have mounted the CD with execute permission, you can then run the installer from the command line like this: 
  -</p>
  -<pre class="code">/mnt/cdrom/installer</pre>
  -
  -<p>
  -At this point, the install wizardâ??s <acronym title="Graphical User Interface">GUI</acronym> should pop up, and you can get to installing. If you canâ??t install, please try doing an â??lsâ?? of the CD to see if it is readable. That is, do this: 
  -</p>
  -<pre class="code">ls -l /mnt/cdrom/</pre>
  -
  -<p>
  -And verify that you get a directory listing instead of an error message (or nothing at all).
  +For Debian distributions, you may wish to download the <a href="http://www.geda.seul.org/download.html"; class="urlextern" title="http://www.geda.seul.org/download.html";  rel="nofollow">latest DEB binaries</a> prepared by Hamish Moffatt. 
   </p>
   
   </div>
  -<!-- SECTION [4046-5564] -->
  -<h2><a name="help_me_i_m_trying_to_install_using_the_cd_rom_but_the_install_wizard_says_i_have_an_error" id="help_me_i_m_trying_to_install_using_the_cd_rom_but_the_install_wizard_says_i_have_an_error">Help me! I'm trying to install using the CD ROM, but the install wizard says I have an error!</a></h2>
  +<!-- SECTION [137-317] -->
  +<h2><a name="fedora_and_redhat_distributions" id="fedora_and_redhat_distributions">Fedora and RedHat distributions</a></h2>
   <div class="level2">
   
   <p>
  - First off, please keep in mind that the CD ROMâ??s installer only works on Linux. The CD ROM installer will not work on Sun, BSD, or Mac OSX, and it certainly wonâ??t work on Windows.
  +For RedHat distributions you may wish to download the <a href="http://www.sp5pbe.waw.pl/~sp5smk/software.html"; class="urlextern" title="http://www.sp5pbe.waw.pl/~sp5smk/software.html";  rel="nofollow"> RPM binaries</a> prepared by Wojciech Kazubski.
   </p>
   
   <p>
  -As a general rule, if you are having problems installing gEDA from the CD, here are the things you can try:
  +Since Fedora Core 5, major parts of gEDA are available from <a href="http://fedoraproject.org/wiki/Extras?highlight(CategoryExtras)" class="urlextern" title="http://fedoraproject.org/wiki/Extras?highlight(CategoryExtras)"  rel="nofollow">Fedora Core Extra</a>.
   </p>
  -<ol>
  -<li class="level1"><div class="li"> If you run the installer with the <strong><code>â??log</code></strong> flag set, it will place a file called Install.log into your local directory (where you are running the installer). This file is a log of all commands issued and all responses generated during the install process. It allows you to save the data displayed on the log window displayed during the install process. Running the installer with <strong><code>â??log</code></strong> set is a good idea if you are experiencing problems; you can send your Install.log file to an expert who might be able to diagnose your problem. More on this later.</div>
  -</li>
  -<li class="level1"><div class="li"> After experiencing a problem, the first thing you should do is look through the gEDA Wiki. Itâ??s quite likely that somebody has already experienced your problem, reported it, and a work around has been found and posted. Different Linux distributions have displayed different problems in the past. Depending upon your distribution, consult the help sections below.</div>
  -</li>
  -<li class="level1"><div class="li"> If no mention of your specific problem has been posted on the Wiki, try a Google search. GEDA tips and tricks show up in many different places on the web, and Google can find them for you. Also, the geda-user list is continually indexed by Google. Since people frequently post bugs and bug workarounds there, Google will help you find these reports.</div>
  -</li>
  -<li class="level1"><div class="li"> Next, try posting a question on the geda-user e-mail list. Note that you must first subscribe to the geda-user e-mail list before posting any e-mail to the list. Others may have already developed a work-around for your problem. Some of the experts hang out on that list, and might offer a few helpful suggestions.</div>
  -</li>
  -</ol>
  -
  -</div>
  -<!-- SECTION [5565-7576] -->
  -<h2><a name="waaaa_the_installation_ran_to_completion_but_i_get_a_segfault_or_other_problem_when_i_run_gschem_or_gattrib_or_gnetlist_or" id="waaaa_the_installation_ran_to_completion_but_i_get_a_segfault_or_other_problem_when_i_run_gschem_or_gattrib_or_gnetlist_or">Waaaa! The installation ran to completion, but I get a segfault (or other problem) when I run gschem (or gattrib, or gnetlist, or. . . )!</a></h2>
  -<div class="level2">
   
   <p>
  -After you install the gEDA Suite off the CD ROM, make sure you do the following:
  +For more informations read the <a href="geda_fedora_rpm_installation.html" class="wikilink1" title="geda:fedora_rpm_installation"> fedora rpm installation notes</a>.
   </p>
  -<ol>
  -<li class="level1"><div class="li"> Set your <strong><code>${PATH}</code></strong> to point to the location where your new gEDA executables live (for example, <strong><code>/home/your-name/geda-install/bin</code></strong>). Make sure that you remove pointers to old gEDA editions, if they exist. You should not only set the <strong><code>${PATH}</code></strong> in your current shell, but you should also set it in your shell config scripts (i.e. .bashrc or .cshrc)</div>
  -</li>
  -<li class="level1"><div class="li"> Type â??rehashâ?? to update your executable search path.</div>
  -</li>
  -<li class="level1"><div class="li"> Set your <strong><code>${LD_LIBRARY_PATH}</code></strong> to point to the location where your new gEDA executables live (for example, <strong><code>/home/your-name/geda-install/lib</code></strong>). Make sure to remove pointers to old gEDA editions, if they exist. You should not only set the <strong><code>${LD_LIBRARY_PATH}</code></strong> in your current shell, but you should also set it in your shell config scripts (i.e. .bashrc or .cshrc)</div>
  -</li>
  -<li class="level1"><div class="li"> Run â??su -c ldconfigâ?? to tell the kernal where to find your new libgeda.so.</div>
  -</li>
  -</ol>
   
   </div>
  -<!-- SECTION [7577-8704] -->
  -<h2><a name="fedora_core_4_install_notes" id="fedora_core_4_install_notes">Fedora Core 4 install notes</a></h2>
  +<!-- SECTION [318-759] -->
  +<h2><a name="suse_and_opensuse_distributions" id="suse_and_opensuse_distributions">SuSE and OpenSuSE distributions</a></h2>
   <div class="level2">
   
   <p>
  -<a href="geda_fc4.html" class="wikilink1" title="geda:fc4">FC4 notes available here</a>
  +For SuSE and OpenSuSE distributions there are rpm packages for several gEDA related programms. They&rsquo;ve been prepared by Werner Hoch using the OpenSuSE Build Service.
   </p>
   
  -</div>
  -<!-- SECTION [8705-8783] -->
  -<h2><a name="fedora_core_3_install_notes" id="fedora_core_3_install_notes">Fedora Core 3 install notes</a></h2>
  -<div class="level2">
  -
   <p>
  -<a href="geda_fc3.html" class="wikilink1" title="geda:fc3">FC3 notes available here</a>
  +You can install the rpm packages with YaST, yum or any other installation tool. The packages are located in a yum repository at   <a href="ftp://ftp-1.gwdg.de/pub/opensuse/repositories/home%3A/werner2101/"; class="urlextern" title="ftp://ftp-1.gwdg.de/pub/opensuse/repositories/home%3A/werner2101/";  rel="nofollow">OpenSuSE mirror</a>.
   </p>
   
  -</div>
  -<!-- SECTION [8784-8862] -->
  -<h2><a name="fedora_core_2_install_notes" id="fedora_core_2_install_notes">Fedora Core 2 install notes</a></h2>
  -<div class="level2">
  -
   <p>
  -<a href="geda_fc2.html" class="wikilink1" title="geda:fc2">FC2 notes available here</a>
  +For more informations read the <a href="geda_suse_rpm_installation.html" class="wikilink1" title="geda:suse_rpm_installation"> SuSE rpm installation notes</a>.
   </p>
   
   </div>
  -<!-- SECTION [8863-8941] -->
  -<h2><a name="fedora_core_1_install_notes" id="fedora_core_1_install_notes">Fedora Core 1 install notes</a></h2>
  +<!-- SECTION [760-1283] -->
  +<h2><a name="mac_osx_distributions" id="mac_osx_distributions">Mac OSX distributions</a></h2>
   <div class="level2">
   
   <p>
  -<a href="geda_fc1.html" class="wikilink1" title="geda:fc1">FC1 notes available here</a>
  +For Mac OSX distributions you may wish to download the <a href="http://www.geda.seul.org/download.html"; class="urlextern" title="http://www.geda.seul.org/download.html";  rel="nofollow">latest Fink binaries</a> prepared by Charles Lepple.
   </p>
   
   </div>
  -<!-- SECTION [8942-9020] -->
  -<h2><a name="suse_9.3_install_notes" id="suse_9.3_install_notes">Suse 9.3 install notes</a></h2>
  +<!-- SECTION [1284-1465] -->
  +<h2><a name="geda_tools_suite_cd-rom" id="geda_tools_suite_cd-rom">&quot;gEDA Tools Suite&quot; CD-ROM</a></h2>
   <div class="level2">
   
   <p>
  -<a href="geda_suse_9.html" class="wikilink1" title="geda:suse_9.3">SuSE 9.3 notes available here</a>
  +If there are no current packages for your distribution available, the recommended method is installation from the &ldquo;gEDA Tools Suite&rdquo; CD-ROM, prepared by Stuart Brorson. The latest version of this CD-ROM is available on-line for free download as an <acronym title="International Organization for Standardization">ISO</acronym> image from the <a href="http://www.geda.seul.org/download.html"; class="urlextern" title="http://www.geda.seul.org/download.html";  rel="nofollow">gEDA Downloads</a> web-page. 
   </p>
   
  -</div>
  -<!-- SECTION [9021-9104] -->
  -<h2><a name="suse_10.0_install_notes" id="suse_10.0_install_notes">Suse 10.0 install notes</a></h2>
  -<div class="level2">
  -
   <p>
  -<a href="geda_suse_10.html" class="wikilink1" title="geda:suse_10.0">SuSE 10.0 notes available here</a>
  +Burn this <acronym title="International Organization for Standardization">ISO</acronym> image to a CD-ROM using your favorite CD burning software. Insert the CD-ROM, and if your computer supports autodetection of the CD-ROM, the built-in installation wizzard will launch. 
   </p>
   
  -</div>
  -<!-- SECTION [9105-9191] -->
  -<h2><a name="suse_10.1_install_notes" id="suse_10.1_install_notes">Suse 10.1 install notes</a></h2>
  -<div class="level2">
  -
   <p>
  -<a href="001geda_suse_10.html" class="wikilink1" title="geda:suse_10.1">SuSE 10.1 notes available here</a>
  +For more informations read the <a href="geda_gedasuite_installation.html" class="wikilink1" title="geda:gedasuite_installation"> gEDA Tools Suite installation notes</a>
   </p>
   
   </div>
  -<!-- SECTION [9192-9278] -->
  -<h2><a name="debian_install_notes" id="debian_install_notes">Debian install notes</a></h2>
  +<!-- SECTION [1466-2142] -->
  +<h2><a name="cvs_unstable_testing" id="cvs_unstable_testing">CVS Unstable/Testing</a></h2>
   <div class="level2">
   
   <p>
  -<a href="geda_debian.html" class="wikilink1" title="geda:debian">Debian notes available here</a>
  +For those already familiar with the gEDA/gaf applications and those who need the latest stuff, access to the <acronym title="Concurrent Versions System">CVS</acronym> repository is available. This is the latest developer source-code version of the application.<br/>
  + Installation from <acronym title="Concurrent Versions System">CVS</acronym> is appropriate for those:
   </p>
  -
  -</div>
  -<!-- SECTION [9279-9356] -->
  -<h2><a name="ubuntu_install_notes" id="ubuntu_install_notes">Ubuntu install notes</a></h2>
  -<div class="level2">
  -
  -<p>
  -<a href="geda_ubuntu.html" class="wikilink1" title="geda:ubuntu">Ubuntu notes available here</a>
  -</p>
  -
  -</div>
  -<!-- SECTION [9357-9435] -->
  -<h2><a name="windows_install_notes" id="windows_install_notes">Windows install notes</a></h2>
  -<div class="level2">
  +<ul>
  +<li class="level1"><div class="li"> Seeking a solution to a specific problem that was submitted to the development team, to test the &ldquo;fix&rdquo; so that the developers can be informed that the &ldquo;fix&rdquo; works.</div>
  +</li>
  +<li class="level1"><div class="li"> With significant working knowledge of the gEDA Tools and quite some knowlegde in using and installing from <acronym title="Concurrent Versions System">CVS</acronym>.</div>
  +</li>
  +</ul>
   
   <p>
  -<a href="geda_cygwin.html" class="wikilink1" title="geda:cygwin">Cygwin notes available here</a>
  + This usually requires access to several existing designs known to work in the current stable release of the gEDA Tools, so that comparisons can be made and issues brought to the attention of the developer/user community (via the e-mail lists or the bug tracker).
   </p>
   
   </div>
  -<!-- SECTION [9436-] --></div>
  +<!-- SECTION [2143-] --></div>
   </body>
   </html>
  
  
  
  1.2.6.1   +78 -18    eda/geda/gaf/docs/wiki/geda_master_attributes_list.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_master_attributes_list.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_master_attributes_list.html,v
  retrieving revision 1.2
  retrieving revision 1.2.6.1
  diff -u -b -r1.2 -r1.2.6.1
  --- geda_master_attributes_list.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_master_attributes_list.html	23 Feb 2007 23:32:02 -0000	1.2.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:master_attributes_list?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:master_attributes_list?do=export_raw"; />
  -  <meta name="date" content="2006-08-29T00:05:36-0400" />
  +  <meta name="date" content="2006-11-09T14:50:22-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -343,18 +343,78 @@
   <div class="level2">
   
   </div>
  -<!-- SECTION [9735-9778] -->
  +<!-- SECTION [9735-9779] -->
   <h3><a name="refdes" id="refdes">refdes</a></h3>
   <div class="level3">
   
   <p>
  -This attribute is used to specify the reference designator to a particular instantiated component. It must be on ALL components which have some sort of electrical significance. This attribute can also be on the inside of a symbol (it will be promoted, i.e. attached to the outside of the symbol, if it is visible) to provide a default refdes value (such as U?).<br/>
  +This attribute is used to specify the reference designator to a particular instantiated component. It must be on ALL components which have some sort of electrical significance. This attribute can also be on the inside of a symbol (it will be promoted, i.e. attached to the outside of the symbol, if it is visible) to provide a default refdes value (such as U?). Usually this attribute is composed by a prefix (&rsquo;U&rsquo; for example) and a number (different for each component).<br/>
    Examples: <code>refdes=U1 refdes=R10 refdes=CONN1</code><br/>
  -
  + There is a convention of the reference designator used for each kind of component. Here are some of them (substitute a cardinal value for &lt;n&gt;):
   </p>
  +<table class="inline">
  +	<tr>
  +		<th> Prefix </th><th> Component type</th>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> R&lt;n&gt;   </td><td>Resistor</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> RN&lt;n&gt;  </td><td>Resistor Network</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> FB&lt;n&gt;  </td><td>Ferrite Bead</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> L&lt;n&gt;   </td><td>Inductor</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> C&lt;n&gt;   </td><td>Capacitor</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> B&lt;n&gt;   </td><td>Battery </td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> F&lt;n&gt;   </td><td class="leftalign">Fuse    </td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> J&lt;n&gt;   </td><td>Connector</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> P&lt;n&gt;   </td><td>Connector (usually plugs that mate with J&lt;n&gt;)</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> K&lt;n&gt;   </td><td>Relay</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> S&lt;n&gt;   </td><td>Switch</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> T&lt;n&gt;   </td><td>Transformer</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> TP&lt;n&gt;  </td><td>Testpoint</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> M&lt;n&gt;   </td><td>Motor</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> D&lt;n&gt;   </td><td>Diode</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> Q&lt;n&gt;   </td><td>Transistor</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> U&lt;n&gt;   </td><td>IC</td>
  +	</tr>
  +	<tr>
  +		<td class="leftalign"> X&lt;n&gt;   </td><td>Crystal</td>
  +	</tr>
  +</table>
  +<br />
   
   </div>
  -<!-- SECTION [9779-10210] -->
  +<!-- SECTION [9780-10891] -->
   <h3><a name="slot" id="slot">slot</a></h3>
   <div class="level3">
   
  @@ -363,7 +423,7 @@
   </p>
   
   </div>
  -<!-- SECTION [10211-10508] -->
  +<!-- SECTION [10892-11189] -->
   <h3><a name="net" id="net">net</a></h3>
   <div class="level3">
   
  @@ -372,7 +432,7 @@
   </p>
   
   </div>
  -<!-- SECTION [10509-10912] -->
  +<!-- SECTION [11190-11593] -->
   <h3><a name="value" id="value">value</a></h3>
   <div class="level3">
   
  @@ -383,7 +443,7 @@
   </p>
   
   </div>
  -<!-- SECTION [10913-11128] -->
  +<!-- SECTION [11594-11809] -->
   <h3><a name="symversion" id="symversion">symversion</a></h3>
   <div class="level3">
   
  @@ -401,12 +461,12 @@
   </p>
   
   </div>
  -<!-- SECTION [11129-12997] -->
  +<!-- SECTION [11810-13678] -->
   <h2><a name="obsolete_attributes" id="obsolete_attributes">Obsolete Attributes</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [12998-13029] -->
  +<!-- SECTION [13679-13710] -->
   <h3><a name="uref" id="uref">uref</a></h3>
   <div class="level3">
   
  @@ -415,7 +475,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13030-13161] -->
  +<!-- SECTION [13711-13842] -->
   <h3><a name="name" id="name">name</a></h3>
   <div class="level3">
   
  @@ -424,7 +484,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13162-13315] -->
  +<!-- SECTION [13843-13996] -->
   <h3><a name="label" id="label">label</a></h3>
   <div class="level3">
   
  @@ -433,7 +493,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13316-13531] -->
  +<!-- SECTION [13997-14212] -->
   <h3><a name="pin" id="pin">pin#</a></h3>
   <div class="level3">
   
  @@ -442,7 +502,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13532-13740] -->
  +<!-- SECTION [14213-14421] -->
   <h3><a name="slot1" id="slot1">slot#</a></h3>
   <div class="level3">
   
  @@ -451,7 +511,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13741-13926] -->
  +<!-- SECTION [14422-14607] -->
   <h3><a name="type" id="type">type</a></h3>
   <div class="level3">
   
  @@ -460,7 +520,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13927-14099] -->
  +<!-- SECTION [14608-14780] -->
   <h3><a name="email" id="email">email</a></h3>
   <div class="level3">
   
  @@ -469,7 +529,7 @@
   </p>
   
   </div>
  -<!-- SECTION [14100-14184] -->
  +<!-- SECTION [14781-14865] -->
   <h2><a name="document_revision_history" id="document_revision_history">Document Revision History</a></h2>
   <div class="level2">
   <table class="inline">
  @@ -501,6 +561,6 @@
   <br />
   
   </div>
  -<!-- SECTION [14185-] --></div>
  +<!-- SECTION [14866-] --></div>
   </body>
   </html>
  
  
  
  1.3.6.1   +1 -1      eda/geda/gaf/docs/wiki/geda_mcalc_readme.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_mcalc_readme.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_mcalc_readme.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_mcalc_readme.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_mcalc_readme.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -22,7 +22,7 @@
   
   <h1><a name="mcalc_readme" id="mcalc_readme">mcalc README</a></h1>
   <div class="level1">
  -<pre class="code">$Id: geda_mcalc_readme.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
  +<pre class="code">$Id: geda_mcalc_readme.html,v 1.3.6.1 2007/02/23 23:32:02 pcjc2 Exp $
   
   WHAT IS IT?
   ------------
  
  
  
  1.3.6.1   +11 -11    eda/geda/gaf/docs/wiki/geda_pcb-quick_reference.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_pcb-quick_reference.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_pcb-quick_reference.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_pcb-quick_reference.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_pcb-quick_reference.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:pcb-quick_reference?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:pcb-quick_reference?do=export_raw"; />
  -  <meta name="date" content="2006-09-21T19:02:07-0400" />
  +  <meta name="date" content="2007-01-17T19:43:21-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -74,7 +74,7 @@
   		<td class="centeralign">  tab		</td><td class="leftalign"> flip view	</td><td class="leftalign"> view: flip board  </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  shift-del	</td><td class="leftalign"> del-cu	</td><td class="leftalign"> deletes electrically connected copper (including power and signal planes)  </td>
  +		<td class="centeralign">  shift-del	</td><td class="leftalign"> del-cu	</td><td class="leftalign"> deletes connected copper (rats are considered a connection)  </td>
   	</tr>
   	<tr>
   		<td class="centeralign">  \		</td><td class="leftalign"> thin-draw	</td><td class="leftalign"> toggles thin draw mode  </td>
  @@ -140,7 +140,7 @@
   		<td class="centeralign">  m  		</td><td class="leftalign"> move to layer	</td><td class="leftalign"> move the object under the cursor to the current layer  </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  shift-m  	</td><td class="leftalign"> move selection to layer	</td><td class="leftalign"> move selected objects to the current working layer (see <a href="http://geda.seul.org/wiki/geda:pcb_tips#how_do_i_move_one_set_of_layer_tracks_to_another_layer"; class="wikilink1" title="geda:pcb_tips">pcb tip</a>)  </td>
  +		<td class="centeralign">  shift-m  	</td><td class="leftalign"> move selection to layer	</td><td class="leftalign"> move selected objects to the current working layer (see <a href="geda_pcb_tips.html" class="wikilink1" title="geda:pcb_tips">pcb tip</a>)  </td>
   	</tr>
   	<tr>
   		<td class="centeralign">  ctrl-m	</td><td class="leftalign"> move origin	</td><td> set the origin to the current position of the mouse pointer </td>
  @@ -233,7 +233,7 @@
   <br />
   
   </div>
  -<!-- SECTION [61-3549] -->
  +<!-- SECTION [61-3535] -->
   <h2><a name="viewport_keystrokes" id="viewport_keystrokes">Viewport Keystrokes</a></h2>
   <div class="level2">
   <table class="inline">
  @@ -268,7 +268,7 @@
   <br />
   
   </div>
  -<!-- SECTION [3550-3923] -->
  +<!-- SECTION [3536-3909] -->
   <h1><a name="footprint_reference" id="footprint_reference">Footprint Reference</a></h1>
   <div class="level1">
   
  @@ -277,7 +277,7 @@
   </p>
   
   </div>
  -<!-- SECTION [3924-4217] -->
  +<!-- SECTION [3910-4203] -->
   <h2><a name="general_syntax" id="general_syntax">General syntax</a></h2>
   <div class="level2">
   
  @@ -300,7 +300,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [4218-4830] -->
  +<!-- SECTION [4204-4816] -->
   <h2><a name="elements" id="elements">Elements</a></h2>
   <div class="level2">
   
  @@ -348,7 +348,7 @@
   <br />
   
   </div>
  -<!-- SECTION [4831-5658] -->
  +<!-- SECTION [4817-5644] -->
   <h2><a name="pads" id="pads">Pads</a></h2>
   <div class="level2">
   
  @@ -393,7 +393,7 @@
   <br />
   
   </div>
  -<!-- SECTION [5659-6563] -->
  +<!-- SECTION [5645-6549] -->
   <h2><a name="pins" id="pins">Pins</a></h2>
   <div class="level2">
   
  @@ -435,7 +435,7 @@
   <br />
   
   </div>
  -<!-- SECTION [6564-7262] -->
  +<!-- SECTION [6550-7248] -->
   <h2><a name="examples" id="examples">Examples</a></h2>
   <div class="level2">
   <ul>
  @@ -454,6 +454,6 @@
   </ul>
   
   </div>
  -<!-- SECTION [7263-] --></div>
  +<!-- SECTION [7249-] --></div>
   </body>
   </html>
  
  
  
  1.3.6.1   +164 -81   eda/geda/gaf/docs/wiki/geda_pcb_tips.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_pcb_tips.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_pcb_tips.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_pcb_tips.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_pcb_tips.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -12,8 +12,8 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:pcb_tips?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:pcb_tips?do=export_raw"; />
  -  <meta name="date" content="2006-10-12T20:13:10-0400" />
  -  <meta name="robots" content="index,follow" />
  +  <meta name="date" content="2007-02-09T19:51:11-0500" />
  +  <meta name="robots" content="noindex,nofollow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
  @@ -31,6 +31,12 @@
   <li class="level2"><div class="li"><span class="li"><a href="#how_can_i_set_the_manufacturing_rules_to_use_i.e._drill_diameters_trace_width_space_specs" class="toc">How can I set the manufacturing rules to use (i.e. drill diameters, trace width/space specs)?</a></span></div></li>
   </ul>
   </li>
  +<li class="level1"><div class="li"><span class="li"><a href="#non-obvious_aspects_of_the_gui" class="toc">Non-obvious aspects of the GUI</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#auto-pan_bugs_me._what_can_i_do_about_it" class="toc">Auto-pan bugs me. What can I do about it?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#the_delete_key_sometimes_refuses_to_delete" class="toc">The delete key sometimes refuses to delete</a></span></div></li>
  +</ul>
  +</li>
   <li class="level1"><div class="li"><span class="li"><a href="#footprint_issues" class="toc">Footprint issues</a></span></div>
   <ul class="toc">
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_pcb_s_footprints_work" class="toc">How do PCB's footprints work?</a></span></div></li>
  @@ -45,6 +51,7 @@
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_draw_a_new_footprint" class="toc">How do I draw a new footprint?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_edit_change_an_existing_footprint" class="toc">How do I edit/change an existing footprint?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_add_a_footprint_library_to_pcb" class="toc">How do I add a footprint library to PCB?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_update_a_footprint_in_my_layout" class="toc">How do I update a footprint in my layout?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#pcb_is_not_finding_my_footprints._why" class="toc">PCB is not finding my footprints. Why?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#now_that_i_have_all_of_these_footprints_where_do_i_put_them" class="toc">Now that I have all of these footprints where do I put them?</a></span></div></li>
   </ul>
  @@ -56,6 +63,7 @@
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_put_components_on_both_faces_in_pcb" class="toc">How do I put components on both faces in PCB?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_set_the_origin_in_pcb" class="toc">How do I set the origin in pcb?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_measure_distances_and_dimensions_of_components" class="toc">How do I measure distances and dimensions of components?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_hide_rats_of_specific_nets" class="toc">How do I hide rats of specific nets?</a></span></div></li>
   </ul>
   </li>
   <li class="level1"><div class="li"><span class="li"><a href="#routing" class="toc">Routing</a></span></div>
  @@ -68,6 +76,7 @@
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_change_the_size_of_my_tracks" class="toc">How do I change the size of my tracks?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_drive_a_via_to_connect_a_track_to_a_ground_plane_on_a_different_layer" class="toc">How do I drive a via to connect a track to a ground plane on a different layer?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#i_want_to_draw_a_track_between_two_segments_on_the_same_net_but_pcb_won_t_let_me_why" class="toc">I want to draw a track between two segments on the same net, but PCB won't let me! Why?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#pcb_seems_to_munge_my_components_names_and_complains_that_it_can_t_find_proper_nets_for_the_pins_how_come" class="toc">PCB seems to munge my components names and complains that it can't find proper nets for the pins! How come?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_can_i_set_color_and_thickness_of_the_rats_nests" class="toc">How can I set color and thickness of the rats nests?</a></span></div></li>
   </ul>
   </li>
  @@ -149,11 +158,42 @@
   
   </div>
   <!-- SECTION [1387-1644] -->
  +<h1><a name="non-obvious_aspects_of_the_gui" id="non-obvious_aspects_of_the_gui">Non-obvious aspects of the GUI</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [1645-1690] -->
  +<h2><a name="auto-pan_bugs_me._what_can_i_do_about_it" id="auto-pan_bugs_me._what_can_i_do_about_it">Auto-pan bugs me. What can I do about it?</a></h2>
  +<div class="level2">
  +<ul>
  +<li class="level1"><div class="li"> You can tell, whether the screen will auto-pan by looking for little squares at the end of the cross hair cursor.</div>
  +</li>
  +<li class="level1"><div class="li"> Auto-pan can be toggled during move with a right mouse button click.</div>
  +</li>
  +<li class="level1"><div class="li"> Auto-pan speed can be set in <code>$HOME/.pcb/preferences</code>  </div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [1691-1998] -->
  +<h2><a name="the_delete_key_sometimes_refuses_to_delete" id="the_delete_key_sometimes_refuses_to_delete">The delete key sometimes refuses to delete</a></h2>
  +<div class="level2">
  +
  +<p>
  +Probably you try to delete a selected object. In pcb the delete button does not act on the selection, but on the object currently under the mouse. Consequently nothing will be deleted if an object is selected and the mouse hovers at some other place. Bottom line: Just position the mouse over an object and press the delete button. No need to select the object.
  +</p>
  +
  +<p>
  +However, the Select menu contains an action that lets you delete the current selection. Currently, there is no accel key attached to this action.
  +</p>
  +
  +</div>
  +<!-- SECTION [1999-2563] -->
   <h1><a name="footprint_issues" id="footprint_issues">Footprint issues</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [1645-1676] -->
  +<!-- SECTION [2564-2595] -->
   <h2><a name="how_do_pcb_s_footprints_work" id="how_do_pcb_s_footprints_work">How do PCB's footprints work?</a></h2>
   <div class="level2">
   
  @@ -172,7 +212,7 @@
   </p>
   
   </div>
  -<!-- SECTION [1677-3059] -->
  +<!-- SECTION [2596-3978] -->
   <h2><a name="where_can_i_get_pre-drawn_footprints_for_pcb" id="where_can_i_get_pre-drawn_footprints_for_pcb">Where can I get pre-drawn footprints for PCB?</a></h2>
   <div class="level2">
   
  @@ -181,16 +221,16 @@
   </p>
   
   </div>
  -<!-- SECTION [3060-3798] -->
  +<!-- SECTION [3979-4717] -->
   <h2><a name="i_want_to_use_pcb_to_do_layout._how_do_i_know_what_value_to_use_for_the_footprint_attribute" id="i_want_to_use_pcb_to_do_layout._how_do_i_know_what_value_to_use_for_the_footprint_attribute">I want to use PCB to do layout. How do I know what value to use for the footprint attribute?</a></h2>
   <div class="level2">
   
   <p>
  -This question is a common one amongst new gEDA users. Indeed, helping newbies determine the appropriate footprint names lies at the core of the ongoing <a href="geda_faq-gschem.html" class="wikilink1" title="geda:faq-gschem">light vs. heavy symbol</a> debate. In the current, light symbol gEDA/gaf distribution, you need to attach the footprint attribute at the schematic level (i.e. using either gschem or gattrib). The name of the footprint to use depends upon whether you are using the newlib or the M4 library (pcblib).
  +This question is a common one amongst new gEDA users. Indeed, helping newbies determine the appropriate footprint names lies at the core of the ongoing <a href="http://geda.seul.org/wiki/geda:faq-gschem#what_s_this_business_about_heavy_vs._light_symbols"; class="wikilink1" title="geda:faq-gschem">light vs. heavy symbol</a> debate. In the current, light symbol gEDA/gaf distribution, you need to attach the footprint attribute at the schematic level (i.e. using either gschem or gattrib). The name of the footprint to use depends upon whether you are using the newlib or the M4 library (pcblib).
   </p>
   
   </div>
  -<!-- SECTION [3799-4422] -->
  +<!-- SECTION [4718-5341] -->
   <h3><a name="newlib" id="newlib">Newlib</a></h3>
   <div class="level3">
   
  @@ -215,7 +255,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [4423-6577] -->
  +<!-- SECTION [5342-7496] -->
   <h3><a name="m4_library" id="m4_library">M4 library</a></h3>
   <div class="level3">
   
  @@ -254,7 +294,7 @@
   </p>
   
   </div>
  -<!-- SECTION [6578-8487] -->
  +<!-- SECTION [7497-9406] -->
   <h2><a name="what_is_the_recommended_way_to_deal_with_different_footprints_for_the_same_sort_of_device" id="what_is_the_recommended_way_to_deal_with_different_footprints_for_the_same_sort_of_device">What is the recommended way to deal with different footprints for the same sort of device?</a></h2>
   <div class="level2">
   
  @@ -271,51 +311,65 @@
   </p>
   
   </div>
  -<!-- SECTION [8488-9565] -->
  +<!-- SECTION [9407-10484] -->
   <h2><a name="how_do_i_draw_a_new_footprint" id="how_do_i_draw_a_new_footprint">How do I draw a new footprint?</a></h2>
   <div class="level2">
   
   <p>
  -Everybody does this a little differently. Some people draw the footprint entirely using PCB. Some people first draw a preliminary footprint in PCB, and then finish it off by hand editing it (e.g. using emacs). Some people write <acronym title="Practical Extraction and Report Language">Perl</acronym> scripts to autogenerate footprints.
  -</p>
  -
  -<p>
  -Karel Kulhavy prefers to draw the footprint entirely using PCB, which might be the most non-threatening method for newbies to use. He maintains a <a href="http://ronja.twibright.com/guidelines/footprints.php"; class="urlextern" title="http://ronja.twibright.com/guidelines/footprints.php";  rel="nofollow">HOWTO describing his footprint creation prodedure</a> on his Ronja website.
  -</p>
  -
  -<p>
  -If you want to edit the footprint files by hand, the <a href="http://www.brorson.com/gEDA/land_patterns_20050129.pdf"; class="urlextern" title="http://www.brorson.com/gEDA/land_patterns_20050129.pdf";  rel="nofollow">manual on footprint creation</a> by Stephen Meier and Stuart Brorson supplies the details of the syntax. The document also gives advice on good design that applies to <acronym title="Graphical User Interface">GUI</acronym> footprint creation too. 
  +Everybody does this a little differently. Some people draw the footprint entirely using PCB. Some people first draw a preliminary footprint in PCB, and then finish it off by hand editing it (e.g. using emacs). Some people write <acronym title="Practical Extraction and Report Language">Perl</acronym> or Python scripts to autogenerate footprints. 
   </p>
  +<ul>
  +<li class="level1"><div class="li"> text editor: safe and efficient way to create most footprints.  <a href="http://www.brorson.com/gEDA/land_patterns_20050129.pdf"; class="urlextern" title="http://www.brorson.com/gEDA/land_patterns_20050129.pdf";  rel="nofollow"> THE manual on footprint creation</a></div>
  +</li>
  +<li class="level1"><div class="li"> draw the part in PCB and convert to a footprint: <acronym title="What You See Is What You Get">WYSIWYG</acronym>.  <a href="http://ronja.twibright.com/guidelines/footprints.php"; class="urlextern" title="http://ronja.twibright.com/guidelines/footprints.php";  rel="nofollow">how Karel Kulhavy does it this way</a></div>
  +</li>
  +<li class="level1"><div class="li"> use either the perl script <a href="http://www.luciani.org/geda/pcb/pcb-perl-library.html"; class="urlextern" title="http://www.luciani.org/geda/pcb/pcb-perl-library.html";  rel="nofollow">from John Luciani</a> or the python script <a href="http://dlharmon.com/geda/footgen.html"; class="urlextern" title="http://dlharmon.com/geda/footgen.html";  rel="nofollow"> by Darrel Harmon</a></div>
  +</li>
  +</ul>
   
   </div>
  -<!-- SECTION [9566-10459] -->
  +<!-- SECTION [10485-11312] -->
   <h2><a name="how_do_i_edit_change_an_existing_footprint" id="how_do_i_edit_change_an_existing_footprint">How do I edit/change an existing footprint?</a></h2>
   <div class="level2">
  +
  +<p>
  +You can convert a footprint into a ordinary layout, edit the parts and convert them back to footprint. In the following list the parts in mono space font are actions from the buffer menu.
  +</p>
   <ol>
   <li class="level1"><div class="li"> Select element</div>
   </li>
  -<li class="level1"><div class="li"> Copy into buffer</div>
  +<li class="level1"><div class="li"> Copy selection to buffer</div>
  +</li>
  +<li class="level1"><div class="li"> <code>Break buffer into pieces</code></div>
  +</li>
  +<li class="level1"><div class="li"> <code>Paste buffer to layout</code></div>
   </li>
  -<li class="level1"><div class="li"> Break buffer into pieces</div>
  +<li class="level1"><div class="li"> Do the desired changes</div>
   </li>
  -<li class="level1"><div class="li"> Paste buffer</div>
  +<li class="level1"><div class="li"> Select all objects that belong to the footprint</div>
   </li>
  -<li class="level1"><div class="li"> Do change</div>
  +<li class="level1"><div class="li"> <code>Copy selection to buffer</code></div>
   </li>
  -<li class="level1"><div class="li"> Select everything</div>
  +<li class="level1"><div class="li"> <code>Convert buffer to element</code></div>
   </li>
  -<li class="level1"><div class="li"> Copy into buffer</div>
  +<li class="level1"><div class="li"> <code>Paste buffer to layout</code></div>
   </li>
  -<li class="level1"><div class="li"> Convert buffer into element</div>
  +<li class="level1"><div class="li"> Place the mouse over the pads that got rounded during step 4 and press q. This squares off the rounded pad edges.</div>
   </li>
  -<li class="level1"><div class="li"> Paste buffer</div>
  +<li class="level1"><div class="li"> Go over every pad, press n and give a name to the pad</div>
   </li>
  -<li class="level1"><div class="li"> Go over every pad and press q. (This squares off the rounded pad edges.)</div>
  +<li class="level1"><div class="li"> Select everything and <code>copy selection to buffer</code></div>
  +</li>
  +<li class="level1"><div class="li"> <code>Save buffer as elements to file</code>. The footprint file will created at the path where pcb was called.</div>
   </li>
   </ol>
   
  +<p>
  +Alternatively, you can use your favorite text editor and edit the source code of the footprint.
  +</p>
  +
   </div>
  -<!-- SECTION [10460-10785] -->
  +<!-- SECTION [11313-12280] -->
   <h2><a name="how_do_i_add_a_footprint_library_to_pcb" id="how_do_i_add_a_footprint_library_to_pcb">How do I add a footprint library to PCB?</a></h2>
   <div class="level2">
   
  @@ -326,7 +380,17 @@
   </p>
   
   </div>
  -<!-- SECTION [10786-11218] -->
  +<!-- SECTION [12281-12713] -->
  +<h2><a name="how_do_i_update_a_footprint_in_my_layout" id="how_do_i_update_a_footprint_in_my_layout">How do I update a footprint in my layout?</a></h2>
  +<div class="level2">
  +
  +<p>
  +There is no way to automatically replace all instances of a footprint with the new version, yet. But there is a special mode of the buffer-paste tool, that will reduce the amount of clicks for manual replacement.<br/>
  +  Use the Info&rarr;Library dialog box to manually choose the new footprint. The tool becomes the buffer-paste tool, with the new footprint preloaded. Rotate it if needed with Buffer&rarr;Rotate Buffer. Position the new footprint over the old one, and shift-left-mouse-click to replace the old footprint with the new one. Watch out for being 180 degrees off, use &lsquo;o&rsquo; to check the rats nest after each placement, and undo if it appears you placed it backwards.
  +</p>
  +
  +</div>
  +<!-- SECTION [12714-13434] -->
   <h2><a name="pcb_is_not_finding_my_footprints._why" id="pcb_is_not_finding_my_footprints._why">PCB is not finding my footprints. Why?</a></h2>
   <div class="level2">
   
  @@ -335,12 +399,12 @@
   </p>
   
   </div>
  -<!-- SECTION [11219-11566] -->
  +<!-- SECTION [13435-13782] -->
   <h2><a name="now_that_i_have_all_of_these_footprints_where_do_i_put_them" id="now_that_i_have_all_of_these_footprints_where_do_i_put_them">Now that I have all of these footprints where do I put them?</a></h2>
   <div class="level2">
   
   <p>
  -I prefer to place all â??production-readyâ?? footprints in a single directory that is not in the gEDA/PCB install tree. When a new version of gEDA/PCB comes out I do not make any changes to project files or libraries. If there are newlib footprints in the PCB library that I want to use I copy them to the �����production-readyâ?? footprint directory.
  +I prefer to place all â??production-readyâ?? footprints in a single directory that is not in the gEDA/PCB install tree. When a new version of gEDA/PCB comes out I do not make any changes to project files or libraries. If there are newlib footprints in the PCB library that I want to use I copy them to the �������������production-readyâ?? footprint directory.
   </p>
   
   <p>
  @@ -365,12 +429,12 @@
   </pre>
   
   </div>
  -<!-- SECTION [11567-12599] -->
  +<!-- SECTION [13783-14839] -->
   <h1><a name="component_placement" id="component_placement">Component placement</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [12600-12634] -->
  +<!-- SECTION [14840-14874] -->
   <h2><a name="how_do_i_rotate_a_selection_i.e._of_more_than_one_item" id="how_do_i_rotate_a_selection_i.e._of_more_than_one_item">How do I rotate a selection (i.e. of more than one item)?</a></h2>
   <div class="level2">
   <ol>
  @@ -385,7 +449,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [12635-12894] -->
  +<!-- SECTION [14875-15134] -->
   <h2><a name="how_do_i_change_the_size_of_a_graphical_object_such_as_text_silkscreen_lines_etc" id="how_do_i_change_the_size_of_a_graphical_object_such_as_text_silkscreen_lines_etc">How do I change the size of a graphical object (such as text, silkscreen lines, etc)?</a></h2>
   <div class="level2">
   <ul>
  @@ -400,7 +464,7 @@
   </p>
   
   </div>
  -<!-- SECTION [12895-13344] -->
  +<!-- SECTION [15135-15584] -->
   <h2><a name="how_do_i_put_components_on_both_faces_in_pcb" id="how_do_i_put_components_on_both_faces_in_pcb">How do I put components on both faces in PCB?</a></h2>
   <div class="level2">
   
  @@ -415,7 +479,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [13345-13813] -->
  +<!-- SECTION [15585-16053] -->
   <h2><a name="how_do_i_set_the_origin_in_pcb" id="how_do_i_set_the_origin_in_pcb">How do I set the origin in pcb?</a></h2>
   <div class="level2">
   
  @@ -424,7 +488,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13814-14428] -->
  +<!-- SECTION [16054-16668] -->
   <h2><a name="how_do_i_measure_distances_and_dimensions_of_components" id="how_do_i_measure_distances_and_dimensions_of_components">How do I measure distances and dimensions of components?</a></h2>
   <div class="level2">
   
  @@ -433,12 +497,21 @@
   </p>
   
   </div>
  -<!-- SECTION [14429-14808] -->
  +<!-- SECTION [16669-17048] -->
  +<h2><a name="how_do_i_hide_rats_of_specific_nets" id="how_do_i_hide_rats_of_specific_nets">How do I hide rats of specific nets?</a></h2>
  +<div class="level2">
  +
  +<p>
  +In the netlist window, doubleclick on the specific rat name, then press &lsquo;o&rsquo; on your board window. Your rats are hidden for that net. In the Netlist window an asterisk appears in from of the rat name. To reverse: follow the same procedure.
  +</p>
  +
  +</div>
  +<!-- SECTION [17049-17337] -->
   <h1><a name="routing" id="routing">Routing</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [14809-14830] -->
  +<!-- SECTION [17338-17359] -->
   <h2><a name="how_do_i_route_a_connection_from_solder_to_component_side_and_back" id="how_do_i_route_a_connection_from_solder_to_component_side_and_back">How do I route a connection from solder to component side and back?</a></h2>
   <div class="level2">
   
  @@ -447,7 +520,7 @@
   </p>
   
   </div>
  -<!-- SECTION [14831-15080] -->
  +<!-- SECTION [17360-17609] -->
   <h2><a name="how_do_i_change_the_routing_style" id="how_do_i_change_the_routing_style">How do I change the routing style?</a></h2>
   <div class="level2">
   
  @@ -466,11 +539,11 @@
   </ol>
   
   <p>
  -The way to access these modes differs among the <acronym title="Graphical User Interface">GUI</acronym> versions. The current GTK snapshot (v20060288) defaults to â??_/â?? but can be temporarily turned to â??\_â?? with the shift key. You can switch to 45° mode with the slash key â??/â??. For arbitrary angles, press the period key â??.���, or choose â??enable all line directionsâ?? in the setting menu.
  +The way to access these modes differs among the <acronym title="Graphical User Interface">GUI</acronym> versions. The current GTK snapshot (v20060288) defaults to â??_/â?? but can be temporarily turned to â??\_â?? with the shift key. You can switch to 45° mode with the slash key â??/â??. For arbitrary angles, press the period key â??.â??, or choose â??enable all line directionsâ?? in the setting menu.
   </p>
   
   </div>
  -<!-- SECTION [15081-16046] -->
  +<!-- SECTION [17610-18569] -->
   <h2><a name="i_got_stuck_how_do_i_go_back" id="i_got_stuck_how_do_i_go_back">I got stuck! How do I go back?</a></h2>
   <div class="level2">
   
  @@ -479,7 +552,7 @@
   </p>
   
   </div>
  -<!-- SECTION [16047-16319] -->
  +<!-- SECTION [18570-18842] -->
   <h2><a name="how_do_i_move_one_set_of_layer_tracks_to_another_layer" id="how_do_i_move_one_set_of_layer_tracks_to_another_layer">How do I move one set of layer tracks to another layer?</a></h2>
   <div class="level2">
   <ol>
  @@ -492,7 +565,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [16320-16756] -->
  +<!-- SECTION [18843-19279] -->
   <h2><a name="how_do_i_change_the_soldermask_clearance_around_a_hole_via" id="how_do_i_change_the_soldermask_clearance_around_a_hole_via">How do I change the soldermask clearance around a hole/via?</a></h2>
   <div class="level2">
   
  @@ -501,7 +574,7 @@
   </p>
   
   </div>
  -<!-- SECTION [16757-17032] -->
  +<!-- SECTION [19280-19555] -->
   <h2><a name="how_do_i_change_the_size_of_my_tracks" id="how_do_i_change_the_size_of_my_tracks">How do I change the size of my tracks?</a></h2>
   <div class="level2">
   
  @@ -518,7 +591,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [17033-17970] -->
  +<!-- SECTION [19556-20493] -->
   <h2><a name="how_do_i_drive_a_via_to_connect_a_track_to_a_ground_plane_on_a_different_layer" id="how_do_i_drive_a_via_to_connect_a_track_to_a_ground_plane_on_a_different_layer">How do I drive a via to connect a track to a ground plane on a different layer?</a></h2>
   <div class="level2">
   <ol>
  @@ -541,7 +614,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [17971-18456] -->
  +<!-- SECTION [20494-20979] -->
   <h2><a name="i_want_to_draw_a_track_between_two_segments_on_the_same_net_but_pcb_won_t_let_me_why" id="i_want_to_draw_a_track_between_two_segments_on_the_same_net_but_pcb_won_t_let_me_why">I want to draw a track between two segments on the same net, but PCB won't let me! Why?</a></h2>
   <div class="level2">
   
  @@ -560,12 +633,22 @@
   </ul>
   
   </div>
  -<!-- SECTION [18457-19775] -->
  +<!-- SECTION [20980-22298] -->
  +<h2><a name="pcb_seems_to_munge_my_components_names_and_complains_that_it_can_t_find_proper_nets_for_the_pins_how_come" id="pcb_seems_to_munge_my_components_names_and_complains_that_it_can_t_find_proper_nets_for_the_pins_how_come">PCB seems to munge my components names and complains that it can't find proper nets for the pins! How come?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Most likely you named them such that pcb believes they are one part. Lower case letters at the end of a refdes are ignored. Thus, the components U2foo and U2bar both look like U2 to pcb. When building the rat nests pcb is will look for nets to U2 that, of course don&rsquo;t exist. Lower case letters are meant to differentiate slots of a multi-component. E.g. the four opamp symbols of a quad operational amplifier.<br/>
  + Bottom line: Don&rsquo;t use lower case letters at the end of a refdes, unless you know what you are doing.  
  +</p>
  +
  +</div>
  +<!-- SECTION [22299-22936] -->
   <h2><a name="how_can_i_set_color_and_thickness_of_the_rats_nests" id="how_can_i_set_color_and_thickness_of_the_rats_nests">How can I set color and thickness of the rats nests?</a></h2>
   <div class="level2">
   
   <p>
  -You can set the color of the rats in <code>File - Prefernce - Colors - Main colors</code>
  +You can set the color of the rats in <code>File - Preference - Colors - Main colors</code>
   </p>
   
   <p>
  @@ -573,12 +656,12 @@
   </p>
   
   </div>
  -<!-- SECTION [19776-20126] -->
  +<!-- SECTION [22937-23288] -->
   <h1><a name="beyond_tracks_and_footprints" id="beyond_tracks_and_footprints">Beyond tracks and footprints</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [20127-20169] -->
  +<!-- SECTION [23289-23331] -->
   <h2><a name="how_do_i_trace_a_drawing_a_print_or_another_pcb" id="how_do_i_trace_a_drawing_a_print_or_another_pcb">How do I trace a drawing, a print, or another PCB?</a></h2>
   <div class="level2">
   
  @@ -595,7 +678,7 @@
   </p>
   
   </div>
  -<!-- SECTION [20170-21010] -->
  +<!-- SECTION [23332-24172] -->
   <h2><a name="i_can_t_copy_component_pads_in_a_layout._what_gives" id="i_can_t_copy_component_pads_in_a_layout._what_gives">I can't copy component pads in a layout.  What gives?</a></h2>
   <div class="level2">
   
  @@ -620,7 +703,7 @@
   </p>
   
   </div>
  -<!-- SECTION [21011-21666] -->
  +<!-- SECTION [24173-24828] -->
   <h2><a name="how_do_i_fill_areas_with_copper" id="how_do_i_fill_areas_with_copper">How do I fill areas with copper?</a></h2>
   <div class="level2">
   
  @@ -629,16 +712,16 @@
   </p>
   
   </div>
  -<!-- SECTION [21667-21914] -->
  +<!-- SECTION [24829-25076] -->
   <h2><a name="the_polygons_are_shorting_my_tracks_what_can_i_do_about_it" id="the_polygons_are_shorting_my_tracks_what_can_i_do_about_it">The polygons are shorting my tracks! What can I do about it?</a></h2>
   <div class="level2">
   
   <p>
  -You didnâ??t have â??Enable_new_lines_clear_polygonsâ?? checked in the settings menu when you layed down the tracks. Enter â??changejoin(selection)â?? in the command window to toggle this flag for all tracks that are currently selected. The keyboard shortcut to this action is [<strong><code>shift-j</code></strong>].
  +You didnâ??t have â??Enable_new_lines_clear_polygonsâ?? checked in the settings menu when you layed down the tracks. Enter â??changejoin(selected)â?? in the command window to toggle this flag for all tracks that are currently selected. The keyboard shortcut to this action is [<strong><code>shift-j</code></strong>].  If you want to set or clear the join flag rather than toggle it, you can use the commands â??SetFlag(selected, join)â?? and  &ldquo;ClrFlag(selected, join)&rdquo;. See the SetFlag description in the <a href="http://pcb.sourceforge.net/manual.html"; class="urlextern" title="http://pcb.sourceforge.net/manual.html";  rel="nofollow">pcb manual</a> for more details on these commands.   
   </p>
   
   </div>
  -<!-- SECTION [21915-22284] -->
  +<!-- SECTION [25077-25726] -->
   <h2><a name="how_do_i_change_polygon_clearance" id="how_do_i_change_polygon_clearance">How do I change polygon clearance?</a></h2>
   <div class="level2">
   
  @@ -651,7 +734,7 @@
   </p>
   
   </div>
  -<!-- SECTION [22285-22785] -->
  +<!-- SECTION [25727-26227] -->
   <h2><a name="how_do_i_hide_the_polygons_while_i_edit_the_layout" id="how_do_i_hide_the_polygons_while_i_edit_the_layout">How do I hide the polygons while I edit the layout?</a></h2>
   <div class="level2">
   
  @@ -660,7 +743,7 @@
   </p>
   
   </div>
  -<!-- SECTION [22786-23194] -->
  +<!-- SECTION [26228-26636] -->
   <h2><a name="how_do_i_edit_polygons" id="how_do_i_edit_polygons">How do I edit polygons?</a></h2>
   <div class="level2">
   
  @@ -673,7 +756,7 @@
   </p>
   
   </div>
  -<!-- SECTION [23195-24380] -->
  +<!-- SECTION [26637-27822] -->
   <h2><a name="how_do_i_place_vias_that_connect_to_a_polygon_for_full_thermal_dissipation_or_full_shielding_integrity" id="how_do_i_place_vias_that_connect_to_a_polygon_for_full_thermal_dissipation_or_full_shielding_integrity">How do I place vias that connect to a polygon for full thermal dissipation or full shielding integrity?</a></h2>
   <div class="level2">
   
  @@ -682,7 +765,7 @@
   </p>
   
   <p>
  -One way, youâ??ll make an object thatâ??s almost just like a thermal in that it goes between your via and the polygonâ??the difference is that youâ??ll actually create an annulus to completely fill the space between the hole and polygon (which because itâ??s clearance is turned on, is not connected to the pin). This annulus is four arc segments. You can copy these four items to the buffer to create a â??zero-clearance thermal toolâ??. The drawback of this trick is that when you change via size, youâ??ll also have to modify the size of these filler parts.
  +One way, youâ??ll make an object thatâ??s almost just like a thermal in that it goes between your via and the polygonâ??the difference is that youâ??ll actually create an annulus to completely fill the space between the hole and polygon (which because itâ??s clearance is turned on, is not connected to the pin). This annulus is four arc segments. You can copy these four items to the buffer to create a �����zero-clearance thermal toolâ??. The drawback of this trick is that when you change via size, youâ??ll also have to modify the size of these filler parts.
   </p>
   
   <p>
  @@ -698,11 +781,11 @@
   </p>
   
   <p>
  -You can also add another polygon on-top of the polygon to which you want to connect you vias. Youâ??ll un-set the â??clear pins/viasâ?? flag and the vias will be connected to the larger polygon underneath.
  +You can also add another polygon on-top of the polygon to which you want to connect you vias. Youâ??ll un-set the ���clear pins/viasâ?? flag and the vias will be connected to the larger polygon underneath.
   </p>
   
   </div>
  -<!-- SECTION [24381-26310] -->
  +<!-- SECTION [27823-29770] -->
   <h2><a name="can_polygons_be_un-masked_can_a_polygon_be_made_bare-copper_with_no_solder_mask" id="can_polygons_be_un-masked_can_a_polygon_be_made_bare-copper_with_no_solder_mask">Can polygons be un-masked? (Can a polygon be made bare-copper with no solder mask?)</a></h2>
   <div class="level2">
   
  @@ -711,7 +794,7 @@
   </p>
   
   </div>
  -<!-- SECTION [26311-26868] -->
  +<!-- SECTION [29771-30328] -->
   <h2><a name="how_do_i_place_mounting_holes" id="how_do_i_place_mounting_holes">How do I place mounting holes?</a></h2>
   <div class="level2">
   
  @@ -732,7 +815,7 @@
   </p>
   
   </div>
  -<!-- SECTION [26869-27533] -->
  +<!-- SECTION [30329-30993] -->
   <h2><a name="why_is_it_possible_to_make_a_thermal_for_pin_but_not_for_a_pad" id="why_is_it_possible_to_make_a_thermal_for_pin_but_not_for_a_pad">Why is it possible to make a thermal for pin, but not for a pad?</a></h2>
   <div class="level2">
   
  @@ -741,7 +824,7 @@
   </p>
   
   </div>
  -<!-- SECTION [27534-28164] -->
  +<!-- SECTION [30994-31624] -->
   <h2><a name="can_pcb_be_used_to_make_single_layer_boards" id="can_pcb_be_used_to_make_single_layer_boards">Can PCB be used to make single layer boards?</a></h2>
   <div class="level2">
   
  @@ -760,7 +843,7 @@
   </p>
   
   </div>
  -<!-- SECTION [28165-28962] -->
  +<!-- SECTION [31625-32422] -->
   <h2><a name="what_resources_exist_to_process_pcb_files_using_scripts" id="what_resources_exist_to_process_pcb_files_using_scripts">What resources exist to process PCB files using scripts?</a></h2>
   <div class="level2">
   
  @@ -777,7 +860,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [28963-29926] -->
  +<!-- SECTION [32423-33386] -->
   <h2><a name="how_do_i_import_external_vector_graphics" id="how_do_i_import_external_vector_graphics">How do I import external vector graphics?</a></h2>
   <div class="level2">
   
  @@ -790,7 +873,7 @@
   </p>
   
   </div>
  -<!-- SECTION [29927-31005] -->
  +<!-- SECTION [33387-34465] -->
   <h2><a name="how_do_i_attach_a_name_to_my_layout" id="how_do_i_attach_a_name_to_my_layout">How Do I attach a name to my layout?</a></h2>
   <div class="level2">
   
  @@ -799,12 +882,12 @@
   </p>
   
   </div>
  -<!-- SECTION [31006-31276] -->
  +<!-- SECTION [34466-34736] -->
   <h1><a name="auto_router" id="auto_router">Auto Router</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [31277-31302] -->
  +<!-- SECTION [34737-34762] -->
   <h2><a name="how_do_i_force_the_autorouter_to_only_put_traces_on_a_particular_layer" id="how_do_i_force_the_autorouter_to_only_put_traces_on_a_particular_layer">How do I force the autorouter to only put traces on a particular layer?</a></h2>
   <div class="level2">
   
  @@ -813,7 +896,7 @@
   </p>
   
   </div>
  -<!-- SECTION [31303-31514] -->
  +<!-- SECTION [34763-34974] -->
   <h2><a name="how_do_i_force_the_autorouter_to_route_only_within_my_pcb_outline" id="how_do_i_force_the_autorouter_to_route_only_within_my_pcb_outline">How do I force the autorouter to route only within my pcb outline?</a></h2>
   <div class="level2">
   
  @@ -822,7 +905,7 @@
   </p>
   
   </div>
  -<!-- SECTION [31515-31946] -->
  +<!-- SECTION [34975-35406] -->
   <h2><a name="how_do_i_route_power_and_ground_planes_with_the_autorouter" id="how_do_i_route_power_and_ground_planes_with_the_autorouter">How do I route power and ground planes with the autorouter?</a></h2>
   <div class="level2">
   
  @@ -831,7 +914,7 @@
   </p>
   
   </div>
  -<!-- SECTION [31947-32228] -->
  +<!-- SECTION [35407-35688] -->
   <h2><a name="the_layout_produced_by_the_autorouter_is_inefficient" id="the_layout_produced_by_the_autorouter_is_inefficient">The layout produced by the autorouter is inefficient!</a></h2>
   <div class="level2">
   
  @@ -840,7 +923,7 @@
   </p>
   
   </div>
  -<!-- SECTION [32229-32409] -->
  +<!-- SECTION [35689-35869] -->
   <h2><a name="the_layout_produced_by_the_autorouter_is_ugly" id="the_layout_produced_by_the_autorouter_is_ugly">The layout produced by the autorouter is ugly!</a></h2>
   <div class="level2">
   
  @@ -849,12 +932,12 @@
   </p>
   
   </div>
  -<!-- SECTION [32410-32535] -->
  +<!-- SECTION [35870-35995] -->
   <h1><a name="gerber_generation_and_file_i_o_issues" id="gerber_generation_and_file_i_o_issues">Gerber generation and file I/O issues</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [32536-32588] -->
  +<!-- SECTION [35996-36048] -->
   <h2><a name="how_do_i_make_a_board_outline_to_go_with_my_gerbers_to_the_board_maker" id="how_do_i_make_a_board_outline_to_go_with_my_gerbers_to_the_board_maker">How do I make a board outline to go with my gerbers to the board maker?</a></h2>
   <div class="level2">
   
  @@ -879,7 +962,7 @@
   )</pre>
   
   </div>
  -<!-- SECTION [32589-33435] -->
  +<!-- SECTION [36049-36895] -->
   <h2><a name="i_m_done_with_my_layout._how_should_i_check_my_design" id="i_m_done_with_my_layout._how_should_i_check_my_design">I'm done with my layout. How should I check my design?</a></h2>
   <div class="level2">
   <ul>
  @@ -912,7 +995,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [33436-35655] -->
  +<!-- SECTION [36896-39115] -->
   <h1><a name="you_didn_t_answer_my_question._what_other_resources_exist_for_pcb_information" id="you_didn_t_answer_my_question._what_other_resources_exist_for_pcb_information">You didn't answer my question. What other resources exist for PCB information?</a></h1>
   <div class="level1">
   
  @@ -927,6 +1010,6 @@
   </p>
   
   </div>
  -<!-- SECTION [35656-] --></div>
  +<!-- SECTION [39116-] --></div>
   </body>
   </html>
  
  
  
  1.2.6.1   +58 -57    eda/geda/gaf/docs/wiki/geda_scg.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_scg.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_scg.html,v
  retrieving revision 1.2
  retrieving revision 1.2.6.1
  diff -u -b -r1.2 -r1.2.6.1
  --- geda_scg.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_scg.html	23 Feb 2007 23:32:02 -0000	1.2.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:scg?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:scg?do=export_raw"; />
  -  <meta name="date" content="2006-08-21T21:15:35-0400" />
  +  <meta name="date" content="2006-11-02T12:04:37-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -64,7 +64,7 @@
   </p>
   
   <p>
  -The latest version of this document may be found at: <a href="http://www.someplace.come/some-page.html"; class="urlextern" title="http://www.someplace.come/some-page.html";  rel="nofollow">http://www.someplace.come/some-page.html</a>
  +The latest version of this document may be found at: <a href="http://geda.seul.org/wiki/geda:scg"; class="urlextern" title="http://geda.seul.org/wiki/geda:scg";  rel="nofollow">http://geda.seul.org/wiki/geda:scg</a>
   </p>
   
   <p>
  @@ -72,7 +72,7 @@
   </p>
   
   </div>
  -<!-- SECTION [1-270] -->
  +<!-- SECTION [1-264] -->
   <h2><a name="overview" id="overview">Overview</a></h2>
   <div class="level2">
   
  @@ -81,7 +81,7 @@
   </p>
   
   </div>
  -<!-- SECTION [271-468] -->
  +<!-- SECTION [265-462] -->
   <h2><a name="component_symbol_creation" id="component_symbol_creation">Component symbol creation</a></h2>
   <div class="level2">
   
  @@ -132,7 +132,7 @@
   </p>
   
   </div>
  -<!-- SECTION [469-2088] -->
  +<!-- SECTION [463-2082] -->
   <h2><a name="requirements" id="requirements">Requirements</a></h2>
   <div class="level2">
   
  @@ -173,7 +173,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [2089-6229] -->
  +<!-- SECTION [2083-6223] -->
   <h2><a name="style" id="style">Style</a></h2>
   <div class="level2">
   
  @@ -182,7 +182,7 @@
   </p>
   
   </div>
  -<!-- SECTION [6230-6339] -->
  +<!-- SECTION [6224-6333] -->
   <h3><a name="text" id="text">Text</a></h3>
   <div class="level3">
   <ul>
  @@ -193,7 +193,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [6340-6478] -->
  +<!-- SECTION [6334-6472] -->
   <h3><a name="attributes" id="attributes">Attributes</a></h3>
   <div class="level3">
   <ul>
  @@ -212,7 +212,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [6479-7575] -->
  +<!-- SECTION [6473-7569] -->
   <h3><a name="graphics" id="graphics">Graphics</a></h3>
   <div class="level3">
   <ul>
  @@ -225,7 +225,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [7576-7926] -->
  +<!-- SECTION [7570-7920] -->
   <h3><a name="pins" id="pins">Pins</a></h3>
   <div class="level3">
   <ul>
  @@ -256,7 +256,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [7927-9882] -->
  +<!-- SECTION [7921-9876] -->
   <h3><a name="electrical" id="electrical">Electrical</a></h3>
   <div class="level3">
   <ul>
  @@ -271,7 +271,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [9883-10505] -->
  +<!-- SECTION [9877-10499] -->
   <h2><a name="footprint_naming_conventions" id="footprint_naming_conventions">Footprint naming conventions</a></h2>
   <div class="level2">
   
  @@ -281,7 +281,7 @@
   </p>
   
   </div>
  -<!-- SECTION [10506-10859] -->
  +<!-- SECTION [10500-10853] -->
   <h3><a name="notes" id="notes">Notes</a></h3>
   <div class="level3">
   <ul>
  @@ -298,7 +298,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [10860-11202] -->
  +<!-- SECTION [10854-11196] -->
   <h3><a name="integrated_circuit_packages" id="integrated_circuit_packages">Integrated circuit packages</a></h3>
   <div class="level3">
   <ul>
  @@ -323,7 +323,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [11203-12071] -->
  +<!-- SECTION [11197-12065] -->
   <h3><a name="integrated_circuit_smt_packages" id="integrated_circuit_smt_packages">Integrated circuit SMT packages</a></h3>
   <div class="level3">
   <ul>
  @@ -388,7 +388,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [12072-15002] -->
  +<!-- SECTION [12066-14996] -->
   <h3><a name="basic_semiconductors" id="basic_semiconductors">Basic semiconductors</a></h3>
   <div class="level3">
   <ul>
  @@ -401,7 +401,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [15003-15405] -->
  +<!-- SECTION [14997-15399] -->
   <h3><a name="basic_smt_semiconductors" id="basic_smt_semiconductors">Basic SMT semiconductors</a></h3>
   <div class="level3">
   <ul>
  @@ -416,7 +416,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [15406-15861] -->
  +<!-- SECTION [15400-15855] -->
   <h3><a name="passive_components" id="passive_components">Passive components</a></h3>
   <div class="level3">
   <ul>
  @@ -451,7 +451,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [15862-16983] -->
  +<!-- SECTION [15856-16977] -->
   <h3><a name="passive_smt_components" id="passive_smt_components">Passive SMT components</a></h3>
   <div class="level3">
   <ul>
  @@ -464,7 +464,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [16984-17336] -->
  +<!-- SECTION [16978-17330] -->
   <h2><a name="hints_and_tips" id="hints_and_tips">Hints and Tips</a></h2>
   <div class="level2">
   
  @@ -490,7 +490,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [17337-18732] -->
  +<!-- SECTION [17331-18726] -->
   <h2><a name="example" id="example">Example</a></h2>
   <div class="level2">
   
  @@ -500,73 +500,74 @@
   </p>
   
   <p>
  -<pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">v 20020825
  +<pre STYLE="background : Lightgreen;margin-left : 2em"><font size="+0">v 20031231 1
   L 300 200 300 800 3 0 0 0 -1 -1
  -T 300 0 9 8 1 0 0 0
  +T 300 0 9 8 1 0 0 0 1
   7400
   L 300 800 700 800 3 0 0 0 -1 -1
  -T 500 900 5 10 0 0 0 0
  +T 500 900 5 10 0 0 0 0 1
   device=7400
  -T 500 1100 5 10 0 0 0 0
  +T 500 1100 5 10 0 0 0 0 1
   slot=1
  -T 500 1300 5 10 0 0 0 0
  +T 500 1300 5 10 0 0 0 0 1
   numslots=4
  -T 500 1500 5 10 0 0 0 0
  +T 500 1500 5 10 0 0 0 0 1
   slotdef=1:1,2,3
  -T 500 1700 5 10 0 0 0 0
  +T 500 1700 5 10 0 0 0 0 1
   slotdef=2:4,5,6
  -T 500 1900 5 10 0 0 0 0
  +T 500 1900 5 10 0 0 0 0 1
   slotdef=3:9,10,8
  -T 500 2100 5 10 0 0 0 0
  +T 500 2100 5 10 0 0 0 0 1
   slotdef=4:12,13,11
   L 300 200 700 200 3 0 0 0 -1 -1
   A 700 500 300 270 180 3 0 0 0 -1 -1
   V 1050 500 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
  -P 1100 500 1300 500 1
  +P 1100 500 1300 500 1 0 1
   {
  -T 1100 550 5 8 1 1 0 0
  +T 1100 550 5 8 1 1 0 0 1
   pinnumber=3
  -T 1100 450 5 8 0 1 0 2
  +T 1100 450 5 8 0 1 0 2 1
   pinseq=3
  -T 950 500 9 8 0 1 0 6
  +T 950 500 9 8 0 1 0 6 1
   pinlabel=Y
  -T 950 500 5 8 0 1 0 8
  +T 950 500 5 8 0 1 0 8 1
   pintype=out
   }
  -P 300 300 0 300 1
  +P 300 300 0 300 1 0 1
   {
  -T 200 350 5 8 1 1 0 6
  +T 200 350 5 8 1 1 0 6 1
   pinnumber=2
  -T 200 250 5 8 0 1 0 8
  +T 200 250 5 8 0 1 0 8 1
   pinseq=2
  -T 350 300 9 8 0 1 0 0
  +T 350 300 9 8 0 1 0 0 1
   pinlabel=B
  -T 350 300 5 8 0 1 0 2
  +T 350 300 5 8 0 1 0 2 1
   pintype=in
   }
  -P 300 700 0 700 1
  +P 300 700 0 700 1 0 1
   {
  -T 200 750 5 8 1 1 0 6
  +T 200 750 5 8 1 1 0 6 1
   pinnumber=1
  -T 200 650 5 8 0 1 0 8
  +T 200 650 5 8 0 1 0 8 1
   pinseq=1
  -T 350 700 9 8 0 1 0 0
  +T 350 700 9 8 0 1 0 0 1
   pinlabel=A
  -T 350 700 5 8 0 1 0 2
  +T 350 700 5 8 0 1 0 2 1
   pintype=in
   }
  -T 300 900 8 10 1 1 0 0
  +T 300 900 8 10 1 1 0 0 1
   refdes=U?
  -T 500 2250 5 10 0 0 0 0
  +T 500 2250 5 10 0 0 0 0 1
   footprint=DIP14
  -T 500 2450 5 10 0 0 0 0
  +T 500 2450 5 10 0 0 0 0 1
   description=4 NAND gates with 2 inputs
  -T 500 2650 5 10 0 0 0 0
  -documentation=http://www-s.ti.com/sc/ds/sn74ls00.pdf
  -T 500 2850 5 10 0 0 0 0
  +T 500 2850 5 10 0 0 0 0 1
   net=Vcc:14
  -T 500 3050 5 10 0 0 0 0
  -net=GND:7</font></pre>
  +T 500 3050 5 10 0 0 0 0 1
  +net=GND:7
  +T 500 2650 5 10 0 0 0 0 1
  +documentation=http://www-s.ti.com/sc/ds/sn74hc00.pdf
  +</font></pre>
   </p>
   
   <p>
  @@ -574,7 +575,7 @@
   </p>
   
   <p>
  -NOTE: This version of Dokuwiki does not support image file references. The Dokuwiki software will be updated shortly.
  +<a href="_detail/geda_symbol_7400-1_hidden.html" class="media" title="geda:symbol_7400-1_hidden.png"><img src="_media/geda_symbol_7400-1_hidden.png" class="media" title=":geda:symbol_7400-1_hidden.png" alt=":geda:symbol_7400-1_hidden.png" /></a>
   </p>
   
   <p>
  @@ -582,11 +583,11 @@
   </p>
   
   <p>
  -NOTE: This version of Dokuwiki does not support image file references. The Dokuwiki software will be updated shortly.
  +<a href="_detail/geda_symbol_7400-1.html" class="media" title="geda:symbol_7400-1.png"><img src="_media/geda_symbol_7400-1.png" class="media" title=":geda:symbol_7400-1.png" alt=":geda:symbol_7400-1.png" /></a>
   </p>
   
   </div>
  -<!-- SECTION [18733-20500] -->
  +<!-- SECTION [18727-20443] -->
   <h2><a name="document_revision_history" id="document_revision_history">Document Revision History</a></h2>
   <div class="level2">
   <table class="inline">
  @@ -609,6 +610,6 @@
   <br />
   
   </div>
  -<!-- SECTION [20501-] --></div>
  +<!-- SECTION [20444-] --></div>
   </body>
   </html>
  
  
  
  1.1.6.1   +6 -10     eda/geda/gaf/docs/wiki/geda_todos.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_todos.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_todos.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- geda_todos.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ geda_todos.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:todos?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:todos?do=export_raw"; />
  -  <meta name="date" content="2006-05-07T01:15:37-0400" />
  +  <meta name="date" content="2007-01-04T10:04:01-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -45,11 +45,7 @@
   <h2><a name="things_to_be_done_before_the_next_release" id="things_to_be_done_before_the_next_release">Things to be done before the next release</a></h2>
   <div class="level2">
   <ul>
  -<li class="level1"><div class="li"> <strong>Gschem</strong>:</div>
  -<ul>
  -<li class="level2"><div class="li"> Fix postscript output.</div>
  -</li>
  -</ul>
  +<li class="level1"><div class="li"> guile 1.8.x issues with netlist backends</div>
   </li>
   </ul>
   
  @@ -70,10 +66,10 @@
   </li>
   <li class="level2"><div class="li"> Make gschemrc variables settable from within gschem using a â??settingsâ?? pull-down menu item (new).</div>
   </li>
  -<li class="level2"><div class="li"> Make gschem start up with a reasonable zoom, not zoomed waaaaay out.</div>
  -</li>
   <li class="level2"><div class="li"> Improve symbol library to handle very large symbol collections better</div>
   </li>
  +<li class="level2"><div class="li"> Whenever the selection changes and we have selection dependant dialog, the dialog should get an information about that. Then it would be possible to change the attribute dialog to be non-modal.</div>
  +</li>
   </ul>
   </li>
   <li class="level1"><div class="li"> <strong>Gnetlist</strong>:</div>
  @@ -115,7 +111,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [357-1752] -->
  +<!-- SECTION [357-1877] -->
   <h2><a name="ideas_for_new_tools_or_geda_suite-wide_enhancements" id="ideas_for_new_tools_or_geda_suite-wide_enhancements">Ideas for new tools or gEDA Suite-wide enhancements</a></h2>
   <div class="level2">
   <ul>
  @@ -128,6 +124,6 @@
   </ul>
   
   </div>
  -<!-- SECTION [1753-] --></div>
  +<!-- SECTION [1878-] --></div>
   </body>
   </html>
  
  
  
  1.1.6.1   +8 -8      eda/geda/gaf/docs/wiki/geda_tragesym_tutorial.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_tragesym_tutorial.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_tragesym_tutorial.html,v
  retrieving revision 1.1
  retrieving revision 1.1.6.1
  diff -u -b -r1.1 -r1.1.6.1
  --- geda_tragesym_tutorial.html	21 Oct 2006 03:57:46 -0000	1.1
  +++ geda_tragesym_tutorial.html	23 Feb 2007 23:32:02 -0000	1.1.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:tragesym_tutorial?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:tragesym_tutorial?do=export_raw"; />
  -  <meta name="date" content="2006-10-12T12:34:29-0400" />
  +  <meta name="date" content="2006-12-05T11:09:45-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -168,7 +168,7 @@
   </li>
   <li class="level1"><div class="li"> A1 to A3 are inputs, we change the type and move them to the left side</div>
   </li>
  -<li class="level1"><div class="li"> set the SCL type to inpult and move SCL and SDA to the left side, too </div>
  +<li class="level1"><div class="li"> set the SCL type to input and move SCL and SDA to the left side, too </div>
   </li>
   <li class="level1"><div class="li"> change the type of the power pins VSS and VDD and add net names. We use the more usual GND and Vcc.</div>
   </li>
  @@ -191,7 +191,7 @@
   </p>
   
   </div>
  -<!-- SECTION [3817-5026] -->
  +<!-- SECTION [3817-5025] -->
   <h2><a name="step5export_the_spreadsheet_into_a_tab_seperated_text_file" id="step5export_the_spreadsheet_into_a_tab_seperated_text_file">Step5: Export the spreadsheet into a tab seperated text file</a></h2>
   <div class="level2">
   
  @@ -200,7 +200,7 @@
   </p>
   
   </div>
  -<!-- SECTION [5027-5291] -->
  +<!-- SECTION [5026-5290] -->
   <h2><a name="step6execute_tragesym_and_create_the_symbol" id="step6execute_tragesym_and_create_the_symbol">Step6: execute tragesym and create the symbol</a></h2>
   <div class="level2">
   
  @@ -217,7 +217,7 @@
   </p>
   
   </div>
  -<!-- SECTION [5292-5612] -->
  +<!-- SECTION [5291-5611] -->
   <h2><a name="step7check_the_result_with_gschem_and_do_some_artwork" id="step7check_the_result_with_gschem_and_do_some_artwork">Step7: check the result with gschem and do some artwork</a></h2>
   <div class="level2">
   
  @@ -242,7 +242,7 @@
   </p>
   
   </div>
  -<!-- SECTION [5613-6254] -->
  +<!-- SECTION [5612-6253] -->
   <h2><a name="conclusion" id="conclusion">Conclusion</a></h2>
   <div class="level2">
   
  @@ -251,7 +251,7 @@
   </p>
   
   </div>
  -<!-- SECTION [6255-6419] -->
  +<!-- SECTION [6254-6418] -->
   <h2><a name="credits" id="credits">Credits</a></h2>
   <div class="level2">
   
  @@ -260,6 +260,6 @@
   </p>
   
   </div>
  -<!-- SECTION [6420-] --></div>
  +<!-- SECTION [6419-] --></div>
   </body>
   </html>
  
  
  
  1.3.6.1   +1 -1      eda/geda/gaf/docs/wiki/geda_wcalc_readme.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_wcalc_readme.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_wcalc_readme.html,v
  retrieving revision 1.3
  retrieving revision 1.3.6.1
  diff -u -b -r1.3 -r1.3.6.1
  --- geda_wcalc_readme.html	21 Oct 2006 03:57:46 -0000	1.3
  +++ geda_wcalc_readme.html	23 Feb 2007 23:32:02 -0000	1.3.6.1
  @@ -22,7 +22,7 @@
   
   <h1><a name="wcalc_readme" id="wcalc_readme">Wcalc README</a></h1>
   <div class="level1">
  -<pre class="code">$Id: geda_wcalc_readme.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
  +<pre class="code">$Id: geda_wcalc_readme.html,v 1.3.6.1 2007/02/23 23:32:02 pcjc2 Exp $
   
   Wcalc is a tool for the analysis and synthesis of transmission line structures and 
   related components.  Wcalc provides the ability to analyze the electrical parameters
  
  
  
  1.2.6.1   +9 -7      eda/geda/gaf/docs/wiki/index.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: index.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/index.html,v
  retrieving revision 1.2
  retrieving revision 1.2.6.1
  diff -u -b -r1.2 -r1.2.6.1
  --- index.html	21 Oct 2006 03:57:46 -0000	1.2
  +++ index.html	23 Feb 2007 23:32:02 -0000	1.2.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns="; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/start?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/start?do=export_raw"; />
  -  <meta name="date" content="2006-10-02T03:10:15-0400" />
  +  <meta name="date" content="2006-11-30T13:15:54-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -50,7 +50,7 @@
   </p>
   
   <p>
  -The tools involved in the Suite enable you to professional-quality design of low- to mid-level complexity.  Using the gEDA tools, you can create PCB of up to 8 layers (soon more) with an unlimited number of components and nets.  The tools are suitable for use by students, educators, hobbiests, consultants, small businesses, and even in large corporations where an engineer might need to crank out a quick PC board (e.g. for a test stand) in a hurry.
  +The tools involved in the Suite enable you to professional-quality design of low- to mid-level complexity.  Using the gEDA tools, you can create PCB of up to 8 layers (soon more) with an unlimited number of components and nets.  The tools are suitable for use by students, educators, hobbyists, consultants, small businesses, and even in large corporations where an engineer might need to crank out a quick PC board (e.g. for a test stand) in a hurry.
   </p>
   
   <p>
  @@ -105,10 +105,12 @@
   </li>
   <li class="level1"><div class="li"> <a href="geda_todos.html" class="wikilink1" title="geda:todos">ToDos</a> : For developers only: lists of pending project enhancements and to-dos.</div>
   </li>
  +<li class="level1"><div class="li"> <a href="geda_devel-tips.html" class="wikilink1" title="geda:devel-tips">devel-tips</a> : For developers only: various tips and tricks when doing gEDA development</div>
  +</li>
   </ul>
   
   </div>
  -<!-- SECTION [1460-2824] -->
  +<!-- SECTION [1460-2925] -->
   <h2><a name="talks" id="talks">Talks</a></h2>
   <div class="level2">
   
  @@ -121,7 +123,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [2825-3064] -->
  +<!-- SECTION [2926-3165] -->
   <h2><a name="translations" id="translations">Translations</a></h2>
   <div class="level2">
   <ul>
  @@ -132,7 +134,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [3065-3180] -->
  +<!-- SECTION [3166-3281] -->
   <h2><a name="geda_users" id="geda_users">gEDA Users</a></h2>
   <div class="level2">
   
  @@ -141,7 +143,7 @@
   </p>
   
   </div>
  -<!-- SECTION [3181-3459] -->
  +<!-- SECTION [3282-3560] -->
   <h2><a name="about_this_wiki" id="about_this_wiki">About this Wiki</a></h2>
   <div class="level2">
   
  @@ -154,6 +156,6 @@
   </p>
   
   </div>
  -<!-- SECTION [3460-] --></div>
  +<!-- SECTION [3561-] --></div>
   </body>
   </html>
  
  
  
  1.2.6.1   +17 -15    eda/geda/gaf/docs/wiki/start_fr.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: start_fr.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/start_fr.html,v
  retrieving revision 1.2
  retrieving revision 1.2.6.1
  diff -u -b -r1.2 -r1.2.6.1
  --- start_fr.html	21 Oct 2006 03:57:46 -0000	1.2
  +++ start_fr.html	23 Feb 2007 23:32:02 -0000	1.2.6.1
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns="; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/start_fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/start_fr?do=export_raw"; />
  -  <meta name="date" content="2006-08-15T22:13:00-0400" />
  +  <meta name="date" content="2006-12-06T15:48:03-0500" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -28,7 +28,7 @@
   <ul class="toc">
   <li class="level2"><div class="li"><span class="li"><a href="#qu_est_ce_que_geda" class="toc">Qu'est ce que gEDA?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#documentation_du_projet_officiel" class="toc">Documentation du Projet Officiel</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#faq_et_documentations_du_projet_informel" class="toc">FAQ et Documentations du Projet Informel</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#faq_et_documentations_officielles_du_projet" class="toc">FAQ et Documentations officielles du Projet</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#presentations" class="toc">Présentations</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#a_propos_du_wiki" class="toc">Ã? propos du Wiki</a></span></div></li></ul>
   </li></ul>
  @@ -70,7 +70,7 @@
   
   </div>
   <!-- SECTION [1428-1781] -->
  -<h2><a name="faq_et_documentations_du_projet_informel" id="faq_et_documentations_du_projet_informel">FAQ et Documentations du Projet Informel</a></h2>
  +<h2><a name="faq_et_documentations_officielles_du_projet" id="faq_et_documentations_officielles_du_projet">FAQ et Documentations officielles du Projet</a></h2>
   <div class="level2">
   
   <p>
  @@ -81,32 +81,34 @@
   </li>
   <li class="level1"><div class="li"> <a href="001geda_installation.html" class="wikilink1" title="geda:installation.fr">Installation.fr</a> : HOWTO et <acronym title="Frequently Asked Questions">FAQ</acronym> de l&rsquo;installation de gEDA.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_glossary.html" class="wikilink1" title="geda:glossary.fr">Glossary.fr</a> : Glossaire de termes qui sont spécifiques à la Suite gEDA</div>
  +<li class="level1"><div class="li"> <a href="geda_glossaire.html" class="wikilink1" title="geda:glossaire.fr">Glossaire.fr</a> : Glossaire de termes qui sont spécifiques à la Suite gEDA</div>
   </li>
   <li class="level1"><div class="li"> <a href="001geda_usage.html" class="wikilink1" title="geda:usage.fr">Usage.fr</a> : Questions sur la manière d&rsquo;effectuer de la conception électronique en utilisant le jeu d&rsquo;outils &ndash; informations qui s&rsquo;appliquent à plusieurs ou à tous les outils de la Suite de gEDA.</div>
   </li>
   <li class="level1"><div class="li"> <a href="001geda_faq-gschem.html" class="wikilink1" title="geda:faq-gschem.fr">FAQ-gschem.fr</a> : Questions sur l&rsquo;installation, la configuration et l&rsquo;utilisation de gschem. De même, les questions sur la création et l&rsquo;utilisation de symboles avec gschem.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_faq-attribs.html" class="wikilink2" title="geda:faq-attribs.fr">FAQ-attribs.fr</a> : De l&rsquo;utilisation des BOM, des DRC, de la gestion des attributs et ainsi de suite.</div>
  +<li class="level1"><div class="li"> <a href="001geda_faq-attribs.html" class="wikilink1" title="geda:faq-attribs.fr">FAQ-attribs.fr</a> : De l&rsquo;utilisation des BOM, des DRC, de la gestion des attributs et ainsi de suite.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_faq-gnetlist.html" class="wikilink2" title="geda:faq-gnetlist.fr">FAQ-gnetlist.fr</a> : Questions sur l&rsquo;installation, la configuration et l&rsquo;utilisation de gnetlist.</div>
  +<li class="level1"><div class="li"> <a href="001geda_faq-gnetlist.html" class="wikilink1" title="geda:faq-gnetlist.fr">FAQ-gnetlist.fr</a> : Questions sur l&rsquo;installation, la configuration et l&rsquo;utilisation de gnetlist.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_faq-simulation.html" class="wikilink2" title="geda:faq-simulation.fr">FAQ-simulation.fr</a> : Questions sur la simulation de votre schéma en utilisant les outils gEDA.</div>
  +<li class="level1"><div class="li"> <a href="001geda_faq-simulation.html" class="wikilink1" title="geda:faq-simulation.fr">FAQ-simulation.fr</a> : Questions sur la simulation de votre schéma en utilisant les outils gEDA.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_faq-gsch2pcb.html" class="wikilink2" title="geda:faq-gsch2pcb.fr">FAQ-gsch2pcb.fr</a> : Comment transformer votre schéma en un circuit avec PCB.</div>
  +<li class="level1"><div class="li"> <a href="001geda_faq-gsch2pcb.html" class="wikilink1" title="geda:faq-gsch2pcb.fr">FAQ-gsch2pcb.fr</a> : Comment transformer votre schéma en un circuit avec PCB.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_pcb_tips.html" class="wikilink2" title="geda:pcb_tips.fr">PCB tips.fr</a> : Trucs et astuces pour l&rsquo;utilisation de PCB.</div>
  +<li class="level1"><div class="li"> <a href="001geda_pcb_tips.html" class="wikilink1" title="geda:pcb_tips.fr">PCB tips.fr</a> : Trucs et astuces pour l&rsquo;utilisation de PCB.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_pcb-quick_reference.html" class="wikilink2" title="geda:pcb-quick_reference.fr">PCB-quick reference.fr</a> : PCB Quick Reference Sheet.</div>
  +<li class="level1"><div class="li"> <a href="001geda_pcb-quick_reference.html" class="wikilink1" title="geda:pcb-quick_reference.fr">PCB-quick reference.fr</a> : PCB Quick Reference Sheet.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_tasks.html" class="wikilink2" title="geda:tasks.fr">Tasks.fr</a> : Une liste des travaaux/tâches prioritaires qui nécessitent de l&rsquo;aide. </div>
  +<li class="level1"><div class="li"> <a href="001geda_tasks.html" class="wikilink2" title="geda:tasks.fr">Tasks.fr</a> : Une liste des travaux/tâches prioritaires qui nécessitent de l&rsquo;aide. </div>
   </li>
   <li class="level1"><div class="li"> <a href="001geda_todos.html" class="wikilink2" title="geda:todos.fr">ToDos.fr</a> : Pour les développeurs seulement: liste d&rsquo;améliorations de projets en cours et à faire.</div>
   </li>
  +<li class="level1"><div class="li"> <a href="http://geda.seul.org/wiki/geda:devel-tips"; class="wikilink1" title="geda:devel-tips">devel-tips</a> : Pour les développeurs seulement: divers trucs et astuces pour le développement de gEDA.</div>
  +</li>
   </ul>
   
   </div>
  -<!-- SECTION [1782-3458] -->
  +<!-- SECTION [1782-3579] -->
   <h2><a name="presentations" id="presentations">Présentations</a></h2>
   <div class="level2">
   
  @@ -119,7 +121,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [3459-3739] -->
  +<!-- SECTION [3580-3860] -->
   <h2><a name="a_propos_du_wiki" id="a_propos_du_wiki">Ã? propos du Wiki</a></h2>
   <div class="level2">
   
  @@ -132,6 +134,6 @@
   </p>
   
   </div>
  -<!-- SECTION [3740-] --></div>
  +<!-- SECTION [3861-] --></div>
   </body>
   </html>
  
  
  
  No                   revision
  
  
  No                   revision
  
  
  1.1.2.1   +0 -0      eda/geda/gaf/docs/wiki/001geda_tragesym_tutorial.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  
  
  
  1.1.2.1   +0 -0      eda/geda/gaf/docs/wiki/geda_devel-tips.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  
  
  
  1.1.2.1   +0 -0      eda/geda/gaf/docs/wiki/geda_fedora_rpm_installation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  
  
  
  1.1.2.1   +0 -0      eda/geda/gaf/docs/wiki/geda_gedasuite_installation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  
  
  
  1.1.2.1   +0 -0      eda/geda/gaf/docs/wiki/geda_glossaire.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  
  
  
  1.1.2.1   +0 -0      eda/geda/gaf/docs/wiki/geda_suse_rpm_installation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  
  
  


_______________________________________________
geda-cvs mailing list
geda-cvs@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-cvs