[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-cvs: CVS update: 001geda_debian.html



  User: ahvezda 
  Date: 06/10/20 23:57:48

  Modified:    .        001geda_documentation.html 001geda_faq-gschem.html
                        001geda_faq.html 001geda_glossary.html
                        001geda_installation.html 001geda_usage.html
                        Makefile.am geda_cygwin.html
                        geda_documentation.html geda_faq-gsch2pcb.html
                        geda_faq-gschem.html geda_faq.html
                        geda_file_format_spec.html geda_glossary.html
                        geda_gschem_ug.html geda_icarus_ieee1364.html
                        geda_icarus_mp.html geda_icarus_opcodes.html
                        geda_icarus_vpi_mp.html
                        geda_icarus_vpi_within_vvp.html
                        geda_icarus_vvp_runtime.html
                        geda_icarus_vvp_simulation.html
                        geda_icarus_xnf.html geda_igarus_fpga_lcg.html
                        geda_installation.html geda_mcalc_readme.html
                        geda_pcb-quick_reference.html geda_pcb_tips.html
                        geda_usage.html geda_wcalc_readme.html index.html
                        start_es.html start_fr.html
  Added:       .        001geda_debian.html geda_tragesym_tutorial.html
                        geda_ubuntu.html
  Log:
  Updated the wiki documentation from the official wiki on geda.seul.org
  
  
  
  
  Revision  Changes    Path
  1.2       +2 -2      eda/geda/gaf/docs/wiki/001geda_documentation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_documentation.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_documentation.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- 001geda_documentation.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_documentation.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -241,9 +241,9 @@
   <h1><a name="pcb" id="pcb">PCB</a></h1>
   <div class="level1">
   <ul>
  -<li class="level1"><div class="li"> <a href="http://geda.seul.org/wiki/geda:pcb_ug"; class="wikilink2" title="geda:pcb_ug">Pcb-1.99q</a> &ndash; gEDA Suite version 20060123</div>
  +<li class="level1"><div class="li"> <a href="geda_pcb_ug.html" class="wikilink2" title="geda:pcb_ug">Pcb-1.99q</a> &ndash; gEDA Suite version 20060123</div>
   </li>
  -<li class="level1"><div class="li"> <a href="http://geda.seul.org/wiki/geda:footprint_creation"; class="wikilink2" title="geda:footprint_creation">footprint_creation</a> &ndash; Stuart Brorson&rsquo;s document, is this the latest?</div>
  +<li class="level1"><div class="li"> <a href="geda_footprint_creation.html" class="wikilink2" title="geda:footprint_creation">footprint_creation</a> &ndash; Stuart Brorson&rsquo;s document, is this the latest?</div>
   </li>
   <li class="level1"><div class="li"> <a href="http://geda.seul.org/wiki/geda:pcb_mp"; class="wikilink1" title="geda:pcb_mp">PCB man-page</a></div>
   </li>
  
  
  
  1.2       +586 -1    eda/geda/gaf/docs/wiki/001geda_faq-gschem.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_faq-gschem.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_faq-gschem.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- 001geda_faq-gschem.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_faq-gschem.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -12,12 +12,597 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq-gschem.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq-gschem.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-10-13T16:37:18-0400" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +<div class="toc">
  +<div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  +<div id="toc__inside">
  +
  +<ul class="toc">
  +<li class="level1"><div class="li"><span class="li"><a href="#usage_de_gschem" class="toc">Usage de Gschem</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_deplace_un_composant" class="toc">Comment je déplace un composant?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_je_change_la_taille_du_texte_sur_un_symbole" class="toc">Comment je change la taille du texte sur un symbole?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_deverouiller_un_composant" class="toc">Comment dévérouiller un composant</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_refdes_peut-il_etre_automatiquement_numerote_lorsque_je_dessine_un_schema" class="toc">Comment refdes peut-il être automatiquement numéroté lorsque je dessine un schéma?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#que_sont_les_liaisons_de_touches_dans_gschem" class="toc">Que sont les Liaisons de Touches dans gschem?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#quelles_sont_les_liaisons_avec_la_souris_dans_gschem" class="toc">Quelles sont les liaisons avec la souris dans gschem?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#symboles_gschem" class="toc">Symboles gschem</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#what_s_this_business_about_heavy_vs._light_symbols" class="toc">What's this business about heavy vs. light symbols?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid" class="toc">I am using a symbol out of the library.  How come it's not aligned to the grid?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection" class="toc">Is there an explicit &quot;no connect&quot; symbol that I can/should place in the schematic to prevent gnetlist from thinking I've forgotten a connection?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic" class="toc">How do I promote an invisible symbol attribute into the schematic?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit" class="toc">What should I do about power pins on my symbols: Make them visible (explicit) or invisible (implicit)?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it" class="toc">Is there a specification or manual for creating gschem symbols? Where is it?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#gschem_configuration_customization" class="toc">Gschem configuration/customization</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_change_the_default_size_of_floating_text" class="toc">How can I change the default size of floating text?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_have_a_different_background_color_other_than_black" class="toc">How can I have a different background color other than black?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#printing_output" class="toc">Printing/Output</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_print_schematics_from_the_command_line" class="toc">How do I print schematics from the command line?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_get_color_postscript_png_output" class="toc">How can I get color postscript/PNG output?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_get_black_and_white_postscript_png_output" class="toc">How can I get black and white postscript/PNG output?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_insert_schematics_into_my_latex_document" class="toc">How can I insert schematics into my LaTex document?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_split_postscript_output_over_multiple_pages" class="toc">How can I split postscript output over multiple pages?</a></span></div></li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"><span class="li"><a href="#gschem_installation_run-time_problems" class="toc">Gschem installation/run-time problems</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around" class="toc">Gschem segfaults when I delete components on FC5 (and other Linux distributions)! Is there a work-around?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#after_installation_gschem_does_not_work_what_could_be_wrong" class="toc">After installation gschem does not work!? What could be wrong?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#add_components_offers_no_symbols_what_can_i_do_about_it" class="toc">&quot;Add Components&quot; offers no symbols! What can I do about it?</a></span></div></li></ul>
  +</li></ul>
   </div>
  +</div>
  +
  +<h1><a name="usage_de_gschem" id="usage_de_gschem">Usage de Gschem</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [1-31] -->
  +<h2><a name="comment_je_deplace_un_composant" id="comment_je_deplace_un_composant">Comment je déplace un composant?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Les nouveaux avec une longue expérience de Windows posent souvent cette question. Vous avez trois manières de déplacer facilement des composants avec gschem:
  +</p>
  +
  +<p>
  +Un:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Attrapez le composants avec le bouton de souris du milieu. Le composant suivra le curseur où vous l&rsquo;emmenez.</div>
  +</li>
  +<li class="level1"><div class="li"> Pour placer le composant, relachez le bouton du milieu de la souris. Le composant restera où vous l&rsquo;avez placé.</div>
  +</li>
  +</ol>
  +
  +<p>
  +Deux:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Sélectionnez le composant en cliquant dessus avec le bouton gauche de la souris. Le composant sera mis en valeur.</div>
  +</li>
  +<li class="level1"><div class="li"> Appuyez sur la touche « M » du clavier. Le composant suivra le curseur où vous l&rsquo;emmenez.</div>
  +</li>
  +<li class="level1"><div class="li"> Pour placer le composant, cliquez le bouton gauche de la souris. Le composant restera où vous l&rsquo;avez placé.</div>
  +</li>
  +</ol>
  +
  +<p>
  +Trois:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Sélectionnez le composant en cliquant dessus avec le bouton gauche de la souris. Le composant sera mis en valeur.</div>
  +</li>
  +<li class="level1"><div class="li"> Cliquez sur le bouton droit de la souris. Un menu déroulant apparaîtra.</div>
  +</li>
  +<li class="level1"><div class="li"> Avec le bouton gauche de la souris, cliquez sur l&rsquo;option « move » du menu déroulant.</div>
  +</li>
  +<li class="level1"><div class="li"> Cliquez sur le composant avec le bouton gauche de souris. Le composant suivra maintenant le curseur où vous le déplacez.</div>
  +</li>
  +<li class="level1"><div class="li"> Pour placer le composant, cliquez sur le bouton gauche de souris. Le composant restera là où vous l&rsquo;avez placé.</div>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [32-1358] -->
  +<h2><a name="comment_je_change_la_taille_du_texte_sur_un_symbole" id="comment_je_change_la_taille_du_texte_sur_un_symbole">Comment je change la taille du texte sur un symbole?</a></h2>
  +<div class="level2">
  +<ol>
  +<li class="level1"><div class="li"> Sélectionnez le symbole.</div>
  +</li>
  +<li class="level1"><div class="li"> Clic droit â?? down symbol (ou faites Hierarchy â?? down symbol). Ceci vous mène à l&rsquo;éditeur de symbole.</div>
  +</li>
  +<li class="level1"><div class="li"> Sélectionnez le pinnumber que vous voulez changer.</div>
  +</li>
  +<li class="level1"><div class="li"> Faites Edit â?? Edit Text (ou tapez le raccourci clavier « ex »).</div>
  +</li>
  +<li class="level1"><div class="li"> Changez la taille de police dans la boîte déroulante.</div>
  +</li>
  +<li class="level1"><div class="li"> Recommencez pour tous les éléments de textes désirés.</div>
  +</li>
  +<li class="level1"><div class="li"> Fichier â?? sauvegarde</div>
  +</li>
  +<li class="level1"><div class="li"> Clic droit â?? up (ou Hierarchy â?? Up). Vous êtes maintenant retourné à l&rsquo;éditeur de schéma.</div>
  +</li>
  +<li class="level1"><div class="li"> Avez le symbole encore sélectionné, faites Edit â?? Update component (ou utilisez le raccourci clavier « ep »). Si cela ne fonctionne pas, effacez simplement le symbole et rechargez-le.</div>
  +</li>
  +</ol>
  +
  +<p>
  + Plus généralement, vous pouvez utiliser cette procédure pour éditer n&rsquo;importe quoi sur un symbole. (Substituez « Edit Text » pour votre édition souhaitée, bien sûr.)
  +</p>
  +
  +</div>
  +<!-- SECTION [1359-2316] -->
  +<h2><a name="comment_deverouiller_un_composant" id="comment_deverouiller_un_composant">Comment dévérouiller un composant</a></h2>
  +<div class="level2">
  +
  +<p>
  +Lorsqu&rsquo;un composant est véroullé, il est insélectionnable en utilisant le bouton milieu de la souris mais il est néanmoins sélectionnable en utilisant une sélection de fenêtre. Pour une sélection de fenêtre sur un composant, cliquez et maintenez avec le bouton gauche de souris et tirez pour créer une région rectangulaire contenant le composant devant être dévérouillé. Exécutez la commande <strong><em>Edit</em></strong> <strong>â??</strong> <strong><em>unLock</em></strong> pour dévérouiller le composant.
  +</p>
  +
  +</div>
  +<!-- SECTION [2317-2843] -->
  +<h2><a name="comment_refdes_peut-il_etre_automatiquement_numerote_lorsque_je_dessine_un_schema" id="comment_refdes_peut-il_etre_automatiquement_numerote_lorsque_je_dessine_un_schema">Comment refdes peut-il être automatiquement numéroté lorsque je dessine un schéma?</a></h2>
  +<div class="level2">
  +
  +<p>
  +�ditez le fichier system-gschemrc ou placez ce qui suit dans le fichier gschemrc (soit <strong><code>~/.gEDA/gschemrc</code></strong> ou un fichier <strong><code>gschemrc</code></strong> dans le répertoire local d&rsquo;où vous invoquez gschem): 
  +</p>
  +<pre class="code">(load &quot;$YOUR_INSTALL_PATH/share/gEDA/scheme/auto-uref.scm&quot;)  ; load the autonumbering script
  +(add-hook! add-component-hook auto-uref)                     ; autonumber when adding a component
  +(add-hook! copy-component-hook auto-uref)                    ; autonumber when copying a component</pre>
  +
  +<p>
  +Veuillez substituer $YOUR_INSTALL_PATH par le chemin d&rsquo;installation de geda.
  +</p>
  +
  +</div>
  +<!-- SECTION [2844-3523] -->
  +<h2><a name="que_sont_les_liaisons_de_touches_dans_gschem" id="que_sont_les_liaisons_de_touches_dans_gschem">Que sont les Liaisons de Touches dans gschem?</a></h2>
  +<div class="level2">
  +
  +</div>
  +<!-- SECTION [3524-3582] -->
  +<h2><a name="quelles_sont_les_liaisons_avec_la_souris_dans_gschem" id="quelles_sont_les_liaisons_avec_la_souris_dans_gschem">Quelles sont les liaisons avec la souris dans gschem?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Par défaut, vous avez:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Le bouton gauche de souris est utilisé pour prendre et dessiner</div>
  +</li>
  +<li class="level1"><div class="li"> Le bouton milieu de souris est soit pour déplacer un objet (maintenez le bouton milieu sur un objet et déplacez la souris), soit pour copier un objet (la touche ALT maintenue lors d&rsquo;une pression sur le bouton milieu sur un objet et déplacez la souris).</div>
  +</li>
  +<li class="level1"><div class="li"> Le bouton droit de souris est un menu déroulant.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Vous pouvez changer le bouton milieu en ajoutant ce qui suit à un fichier gschemrc: 
  +</p>
  +<pre class="code">(middle-button &quot;action&quot;)   ;default binding, move or copy an object</pre>
  +
  +<p>
  +ou: 
  +</p>
  +<pre class="code">(middle-button &quot;stroke&quot;)   ;draw mouse gestures/strokes (must install libstroke to enable</pre>
  +
  +<p>
  +ou: 
  +</p>
  +<pre class="code">(middle-button &quot;repeat&quot;)   ;repeat the last command executed</pre>
  +
  +<p>
  +Vous pouvez changer le bouton droit en ajoutant ce qui suit dans un fichier gschemrc: 
  +</p>
  +<pre class="code">(third-button &quot;popup&quot;)     ;default binding, show a popup menu</pre>
  +
  +<p>
  +ou: 
  +</p>
  +<pre class="code">(third-button &quot;mousepan&quot;)  ;use the mouse to pan around the schematic</pre>
  +
  +<p>
  +Pour plus informations sur ces options, veuillez aller voir le fichier <strong><code>${install_prefix}/share/gEDA/system-gschemrc</code></strong>.
  +</p>
  +
  +</div>
  +<!-- SECTION [3583-4787] -->
  +<h1><a name="symboles_gschem" id="symboles_gschem">Symboles gschem</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [4788-4818] -->
  +<h2><a name="what_s_this_business_about_heavy_vs._light_symbols" id="what_s_this_business_about_heavy_vs._light_symbols">What's this business about heavy vs. light symbols?</a></h2>
  +<div class="level2">
  +
  +<p>
  +This nomenclature arose from a discussion which frequently appears on the geda-user and geda-dev mailing lists. A light symbol is one which contains very few built-in attributes in the symbol itself. It requires that the user attach almost all attributes at the schematic level (e.g. using either gschem or gattrib). A heavy symbol is one which contains many attributes (such as package footprints, SPICE model names, etc.) built into the symbol file itself. A heavy symbol therefore requires very little attribute attachment at the schematic level â?? you just place it and youâ??re done.
  +</p>
  +
  +<p>
  +The debate between proponents of heavy and light symbols is very detailed and involved. In caricature, proponents of heavy symbols belive that they provide better integration between gschem and PCB since the important layout attributes (such as <a href="http://geda.seul.org/wiki/geda:pcb_tips#i_want_to_use_pcb_to_do_layout._how_do_i_know_what_value_to_use_for_the_footprint_attribute"; class="wikilink1" title="geda:pcb_tips">footprint name</a>) are already built into the symbol. This is considered a good thing for new users (noobs) who just want to design a simple board and donâ??t appreciate or donâ??t care about the zillions of variations that even a simple resistor might have (e.g. different footprint, TCR, precision, material composition, etc). Proponents of light symbols prefer to deal with attributes at the schematic level because they believe it to be more flexible. They are quick to point out that a library of heavy symbols will quickly grow into the thousands of parts with grotesquely long names trying to distinguish between the different variations of the part. They also point out that the utility &ldquo;gattribâ?? is the preferred tool for dealing with attributes at the schematic level (i.e. in the .sch file).
  +</p>
  +
  +<p>
  +GEDA/gaf, as default configured, uses light symbols, although it can be configured to use heavy symbols. For further information, you may read these dicussions from the geda-user mailing list:
  +</p>
  +
  +<p>
  +<a href="http://archives.seul.org/geda/user/Jun-2005/msg00001.html"; class="urlextern" title="http://archives.seul.org/geda/user/Jun-2005/msg00001.html";  rel="nofollow">http://archives.seul.org/geda/user/Jun-2005/msg00001.html</a> <a href="http://archives.seul.org/geda/dev/Oct-2005/msg00043.html"; class="urlextern" title="http://archives.seul.org/geda/dev/Oct-2005/msg00043.html";  rel="nofollow">http://archives.seul.org/geda/dev/Oct-2005/msg00043.html</a>
  +</p>
  +
  +</div>
  +<!-- SECTION [4819-6949] -->
  +<h2><a name="i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid" id="i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid">I am using a symbol out of the library.  How come it's not aligned to the grid?</a></h2>
  +<div class="level2">
  +
  +<p>
  +The symbols in the symbol library, like those available at the  <a href="http://www.gedasymbols.org/"; class="urlextern" title="http://www.gedasymbols.org";  rel="nofollow"> gedasymbols </a> website are contributed by users just like you.  Some people use different grid settings than other people (e.g. 50mil vs. 100mil).   If you discover a symbol which seems to be off the grid, try reducing your grid spacing, move the symbol so that it sits on <strong>your</strong> grid, then revert to your preferred grid settings.
  +</p>
  +
  +<p>
  +Yes, the gEDA docs suggest that you use a 100mil grid spacing.  But everybody likes to do things their own way, and there is no overall symbol dictator to enforce the rules on contributed symbols.  Therefore, you just need to be aware of this possibility.
  +</p>
  +
  +</div>
  +<!-- SECTION [6950-7727] -->
  +<h2><a name="is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection" id="is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection">Is there an explicit &quot;no connect&quot; symbol that I can/should place in the schematic to prevent gnetlist from thinking I've forgotten a connection?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Answer: misc â?? nc-left, nc-right, nc-top, nc-bottom.
  +</p>
  +
  +<p>
  +Caution: occassionally this may create a net called â??no_connectâ?? (or â??NC??&rdquo;) which may lead to no-connect pins being connected together in gnetlist â?? which you probably _donâ??t_ want to happen.
  +</p>
  +
  +<p>
  +If you want an entire symbol to be graphical (no elec. connections) , add a &ldquo;graphical=1&rdquo; attribute.  The netlister will ignore these symbols entirely.
  +</p>
  +
  +</div>
  +<!-- SECTION [7728-8297] -->
  +<h2><a name="how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic" id="how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic">How do I promote an invisible symbol attribute into the schematic?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Most attributes living in the symbol do not get promoted to the schematic unless they are visible. To promote invisible symbol attributes, look for the following keywords in the system-gschemrc file: 
  +</p>
  +<pre class="code">(attribute-promotion â??enabledâ??);
  +(promote-invisible â??disabledâ??) ; â?? This one
  +(keep-invisible â??enabledâ??)</pre>
  +
  +<p>
  +Add to your gschemrc file: 
  +</p>
  +<pre class="code">(promote-invisible â??enabledâ??)</pre>
  +
  +<p>
  +and you will get all the attributes promoted. The â??keep-invisibleâ?? keyword will keep hidden those attributes that are hidden in the symbol file.
  +</p>
  +
  +</div>
  +<!-- SECTION [8298-8933] -->
  +<h2><a name="what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit" id="what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit">What should I do about power pins on my symbols: Make them visible (explicit) or invisible (implicit)?</a></h2>
  +<div class="level2">
  +
  +<p>
  +In the past, digital logic circuits often hid the power pin, and attached power nets using an attribute inside the symbol. Modern thought is that this is a bad practice (although religious wars still occasionally rage about this topic).
  +</p>
  +
  +<p>
  +Itâ??s marginally OK for an old logic circuit which is all 5V TTL to have hidden power and GND pins. If you only have +5V on your board, then hiding the power pin can simplify your schematic somewhat. However, few designers design such circuits nowadays; 5V TTL (and 5V CMOS) are rapidly becoming antique technologies.
  +</p>
  +
  +<p>
  +Itâ??s always been unacceptable to hide the power pins on analog chips. First, analog often has multiple power connections (VCC, VEE) which need to be explicitly drawn out. Second, good design practice is to place decoupling caps on each and every power pin. Sometimes one places an inductor in series with power also. Since these should be drawn into the schematic, it is best done by attaching them to an explicit power pin. Therefore, one should never use hidden power pins for analog symbols.
  +</p>
  +
  +<p>
  +New logic circuits often use multiple supplies for different chip sections (OVDD, DVDD, etc). It is also typical to have several logic families on a single board (5V, 3.3V etc.). Therefore, itâ??s best to explicitly place and wire the power pins on the symbol. Hidden power pins are a recipe for disaster since you can all too easily misconnect a 5V part to a 3.3V power net, for example.
  +</p>
  +
  +<p>
  +To paraphrase Nancy Reagan: Just say â??noâ?? to hidden power pins.
  +</p>
  +
  +<p>
  +That said, it may still be usefull to detach the power pins from the functional part of the symbol. To do so, define a seperate power symbol and give it the same <a href="http://geda.seul.org/wiki/geda:glossary"; class="wikilink1" title="geda:glossary">refdes</a> as the functional part. A run of gsch2pcb will treat the siblings properly as one single component. As neither gschem nor gsch2pcb explicitely know that the component is only complete with both symbols defined, you have to check yourself. With this workaround, you can draw all power related circuitry in one corner of the schematic where it does not interfere with the signal nets. In many cases this is advantageous with analog circuits.
  +</p>
  +
  +</div>
  +<!-- SECTION [8934-11191] -->
  +<h2><a name="is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it" id="is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it">Is there a specification or manual for creating gschem symbols? Where is it?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Yes. It is the <a href="http://geda.seul.org/wiki/geda:scg"; class="wikilink1" title="geda:scg">Symbol Creation Guide</a>.
  +</p>
  +
  +</div>
  +<!-- SECTION [11192-11332] -->
  +<h1><a name="gschem_configuration_customization" id="gschem_configuration_customization">Gschem configuration/customization</a></h1>
  +<div class="level1">
  +
  +<p>
  +Gschem is configurable in more ways than can be describe here. Look at &ldquo;system-gschemrc&rdquo; for suggestions what else can be done.
  +</p>
  +
  +</div>
  +<!-- SECTION [11333-11510] -->
  +<h2><a name="how_can_i_change_the_default_size_of_floating_text" id="how_can_i_change_the_default_size_of_floating_text">How can I change the default size of floating text?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Put  
  +</p>
  +<pre class="code">(text-size 10)</pre>
  +
  +<p>
  + into your gschemrc and replace &ldquo;10&rdquo; with your favorite size.
  +</p>
  +
  +</div>
  +<!-- SECTION [11511-11669] -->
  +<h2><a name="how_can_i_have_a_different_background_color_other_than_black" id="how_can_i_have_a_different_background_color_other_than_black">How can I have a different background color other than black?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Edit the system-gschemrc file and near the top you will find lines like: 
  +</p>
  +<pre class="code">; 
  +; Start of color section
  +; 
  +; Load up a color scheme has a light (almost white) background
  +; Comment out the first line and comment in the second line for a
  +; dark (black) background.  The dark background is the original look.
  +; 
  +(load (string-append gedadatarc &quot;/gschem-darkbg&quot;)) ; dark background
  +;(load (string-append gedadatarc &quot;/gschem-lightbg&quot;)) ; light background</pre>
  +
  +<p>
  +Comment out the <strong><code>darkbg</code></strong> line (with a ;) and comment in the <strong><code>lightbg</code></strong> line. This will give you a light background instead of a black background. It also adjust all the other colors to be compatible with a light background.
  +</p>
  +
  +<p>
  +If you want more control over the colors, please edit <strong><code>${prefix}/share/gEDA/gschem-darkbg</code></strong> or <strong><code>${prefix}/share/gEDA/gschem-lightbg</code></strong> or create your own file and load it in the <strong><code>system-gschemrc</code></strong> file.
  +</p>
  +
  +<p>
  +A dark background is preferred by many users.
  +</p>
  +
  +</div>
  +<!-- SECTION [11670-12705] -->
  +<h1><a name="printing_output" id="printing_output">Printing/Output</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [12706-12735] -->
  +<h2><a name="how_do_i_print_schematics_from_the_command_line" id="how_do_i_print_schematics_from_the_command_line">How do I print schematics from the command line?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Running the script <strong><code>gschem-print.scm</code></strong> will create the Postscript file that is specified on the command line.
  +</p>
  +
  +<p>
  +The command line below creates a Postscript file from a schematic file (replace MY_SCH with the name of your schematic and GEDA_SCHEME_DIR with the name of the directory where your gEDA scheme files are installed): 
  +</p>
  +<pre class="code">gschem -p -oMY_SCH.ps -sGEDA_SCHEME_DIR/gschem-print.scm MY_SCH.sch</pre>
  +
  +<p>
  +The BASH script below, which I name <strong><code>gschem-print</code></strong>, creates a Postscript file for each schematic file that is specified on the command line and then outputs each Postscript file to the default printer: 
  +</p>
  +<pre class="code">#!/bin/bash
  +
  +# gschem options
  +# -oPS_FILENAME output to Postscript file PS_FILENAME
  +# -sSCRIPT_FILENAME run script SCRIPT_FILENAME
  +# -p autoplace windows
  +
  +for name in $*
  +do
  +base=â??${name%.*}â??
  +gschem -p -o$base.ps -sGEDA_SCHEME_DIR/gschem-print.scm $base.sch
  +lpr -P$PRINTER $base.ps
  +done</pre>
  +
  +</div>
  +<!-- SECTION [12736-13721] -->
  +<h2><a name="how_can_i_get_color_postscript_png_output" id="how_can_i_get_color_postscript_png_output">How can I get color postscript/PNG output?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Edit the <strong><code>system-gschemrc</code></strong> file or place the following into a <strong><code>gschemrc</code></strong> file (either <strong><code>~/.gEDA/gschemrc</code></strong> or a <strong><code>gschemrc</code></strong> file in the local directory where you invoke gschem): 
  +</p>
  +<pre class="code">(output-color &quot;enabled&quot;)      ; for color postscript output
  +(image-color &quot;enabled&quot;)       ; for color PNG output (enabled by default)</pre>
  +
  +<p>
  +To control the background of the PS output, change the following line in either gschem-darkbg (for the default black colored background) or gschem-lightbg (for the alternative light colored background): 
  +</p>
  +<pre class="code">(output-color-background 16 &quot;black&quot; &quot;null&quot; &quot;0 0 0&quot; 0 0 0)</pre>
  +
  +<p>
  +The â??0 0 0â?? is the RGB components (between 0..1) for the background color of the PS output.
  +</p>
  +
  +<p>
  +To control the background of the <acronym title="Portable Network Graphics">PNG</acronym> output, change the following line in either gschem-darkbg (for the default black colored background) or gschem-lightbg (for the alternative light colored background): 
  +</p>
  +<pre class="code">(background-color 0 &quot;grey94&quot; &quot;null&quot; &quot;1 1 1&quot; 255 255 255)</pre>
  +
  +<p>
  +The 255 255 255 are the RGB components for the background color of the <acronym title="Portable Network Graphics">PNG</acronym> image.
  +</p>
  +
  +</div>
  +<!-- SECTION [13722-14853] -->
  +<h2><a name="how_can_i_get_black_and_white_postscript_png_output" id="how_can_i_get_black_and_white_postscript_png_output">How can I get black and white postscript/PNG output?</a></h2>
  +<div class="level2">
  +
  +<p>
  +For black and white PS output, place the following into a gschemrc file: 
  +</p>
  +<pre class="code">(output-color &quot;disabled&quot;)      ; for monochrome postscript output</pre>
  +
  +<p>
  +For black and white <acronym title="Portable Network Graphics">PNG</acronym> images, place the following into a gschemrc file: 
  +</p>
  +<pre class="code">(image-color &quot;disabled&quot;)       ; for monochromoe PNG output</pre>
  +
  +</div>
  +<!-- SECTION [14854-15219] -->
  +<h2><a name="how_can_i_insert_schematics_into_my_latex_document" id="how_can_i_insert_schematics_into_my_latex_document">How can I insert schematics into my LaTex document?</a></h2>
  +<div class="level2">
  +<ol>
  +<li class="level1"><div class="li"> Print the schematic to a file. This will be generic postscript (*.ps).</div>
  +</li>
  +<li class="level1"><div class="li"> Convert the postscript file to epsi with the tool ps2epsi. This is a script from the ghostscript suite.</div>
  +</li>
  +<li class="level1"><div class="li"> Include usepackage{graphicx} to the preamble of your latex document. Use the comand includegraphics to place your schematic.</div>
  +</li>
  +</ol>
  +
  +<p>
  + A simple example: 
  +</p>
  +<pre class="code">\documentclass{article}
  +\usepackage{graphicx}
  +\begin{document}
  +  \begin{image}
  +  \includegraphics[width=100mm]{ModulPID.epsi}
  +  \end{image}
  +\end{document}</pre>
  +
  +</div>
  +<!-- SECTION [15220-15783] -->
  +<h2><a name="how_can_i_split_postscript_output_over_multiple_pages" id="how_can_i_split_postscript_output_over_multiple_pages">How can I split postscript output over multiple pages?</a></h2>
  +<div class="level2">
  +
  +<p>
  +gschem does not provide this functionality internally, however there is a program called â??posterâ?? which does exactly this. It can be downloaded from either <a href="http://www.gnu.org/directory/poster.html"; class="urlextern" title="http://www.gnu.org/directory/poster.html";  rel="nofollow">here</a> (GNU) or <a href="http://printing.kde.org/downloads/"; class="urlextern" title="http://printing.kde.org/downloads/";  rel="nofollow">here</a> (KDE Print).
  +</p>
  +
  +</div>
  +<!-- SECTION [15784-16127] -->
  +<h1><a name="gschem_installation_run-time_problems" id="gschem_installation_run-time_problems">Gschem installation/run-time problems</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [16128-16180] -->
  +<h2><a name="gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around" id="gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around">Gschem segfaults when I delete components on FC5 (and other Linux distributions)! Is there a work-around?</a></h2>
  +<div class="level2">
  +
  +<p>
  +This bug seems to have appeared for users of Fedora Core 5 (and other linux distributions that use glib 2.10.x). The bug has been fixed by the developers and the bug fix will appear in the next version of gEDA/gaf. 
  +</p>
  +
  +<p>
  +In the mean time, you can work around this bug by setting the environment variable G_SLICE to â??always-mallocâ??.  Specifically, before you run gschem, do this:
  +</p>
  +
  +<p>
  +bash: 
  +</p>
  +<pre class="code">export G_SLICE=always-malloc</pre>
  +
  +<p>
  +csh: 
  +</p>
  +<pre class="code">setenv G_SLICE always-malloc</pre>
  +
  +</div>
  +<!-- SECTION [16181-16775] -->
  +<h2><a name="after_installation_gschem_does_not_work_what_could_be_wrong" id="after_installation_gschem_does_not_work_what_could_be_wrong">After installation gschem does not work!? What could be wrong?</a></h2>
  +<div class="level2">
  +
  +<p>
  +If you run gschem and you get a window without a menu bar, no colors, and the program terminates when you press a key with the following message: 
  +</p>
  +<pre class="code">ERROR: Unbound variable: current-keymap</pre>
  +
  +<p>
  +Or you get errors like this: 
  +</p>
  +<pre class="code">Gtk-CRITICAL : file gtkpixmap.c: line 97 (gtk_pixmap_new): assertion `val != NULLâ?? failed.
  +Gtk-CRITICAL : file gtkpixmap.c: line 97 (gtk_pixmap_new): assertion `val != NULLâ?? failed.
  +Tried to get an invalid color: 0
  +Tried to get an invalid color: 7
  +Tried to get an invalid color: 0
  +Tried to get an invalid color: 7</pre>
  +
  +<p>
  +then gschem is not finding an rc file. There are two required rc files. The first is <strong><code>system-gschemrc</code></strong> and the second is <strong><code>system-commonrc</code></strong>.
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> The system-gschemrc rc file should be installed when you install gschem and typically resides in <strong><code>${prefix}/share/gEDA/system-gschemrc</code></strong>. <strong><code>${prefix}</code></strong> is where you installed gschem (usually <strong><code>/usr</code></strong> or <strong><code>/usr/local</code></strong> or <strong><code>$HOME/geda</code></strong>). This file can also be installed in /etc/gEDA (the .debs packages do this).</div>
  +</li>
  +<li class="level1"><div class="li"> The system-commonrc rc file should be installed when you install the symbol library for gEDA/gaf. It resides in <strong><code>${prefix}/share/gEDA/system-commonrc</code></strong>. This file can also be installed in <strong><code>/etc/gEDA</code></strong> (the .debs packages do this). This file is not loaded directly by gschem. It is loaded by a â??(load ...)â?? in the system-gschemrc rc file.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Make sure these file are installed. The gschem.log file (which is created everytime you run gschem) holds valuable debugging information which should help in determining what is wrong. Check this file for where gschem is looking for the rc files.
  +</p>
  +
  +<p>
  +Also, some older releases of gEDA/gaf had some bugs when the rc files were installed in other locations (other that <strong><code>${prefix}/share/gEDA</code></strong>), so please upgrade to a more current release.
  +</p>
  +
  +</div>
  +<!-- SECTION [16776-18699] -->
  +<h2><a name="add_components_offers_no_symbols_what_can_i_do_about_it" id="add_components_offers_no_symbols_what_can_i_do_about_it">&quot;Add Components&quot; offers no symbols! What can I do about it?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Make sure that at least one of your config files contains a valid path to a symbol library. At startup, gschem checks for the following config files (on a Debian system):
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> system gafrc file: <code>/etc/gEDA/system-gafrc</code></div>
  +</li>
  +<li class="level1"><div class="li"> user gafrc file: <code>~/.gEDA/gafrc</code></div>
  +</li>
  +<li class="level1"><div class="li"> local gafrc file: <code>$PWD/gafrc</code></div>
  +</li>
  +<li class="level1"><div class="li"> system gschemrc file: <code>/etc/gEDA/system-gschemrc</code></div>
  +</li>
  +<li class="level1"><div class="li"> user gschemrc file: <code>~/.gEDA/gschemrc</code></div>
  +</li>
  +<li class="level1"><div class="li"> local gschemrc file: <code>$PWD/gschemrc]</code></div>
  +</li>
  +</ol>
  +
  +<p>
  +All of these config files may or may not append paths to the library search list. If a config file conatins the command 
  +</p>
  +<pre class="code">(reset-component-library)</pre>
  +
  +<p>
  + the library search path will be emptied. Order is obviously important, as this command will erase any previously appended paths.   
  +</p>
  +
  +</div>
  +<!-- SECTION [18700-] --></div>
   </body>
   </html>
  
  
  
  1.3       +29 -29    eda/geda/gaf/docs/wiki/001geda_faq.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_faq.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_faq.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- 001geda_faq.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ 001geda_faq.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -12,8 +12,8 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq.fr?do=export_raw"; />
  -  <meta name="date" content="2006-09-04T16:53:40-0400" />
  -  <meta name="robots" content="noindex,nofollow" />
  +  <meta name="date" content="2006-09-19T16:13:00-0400" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
  @@ -36,12 +36,12 @@
   <li class="level3"><div class="li"><span class="li"><a href="#pour_la_suite_l_association" class="toc">Pour la Suite (l'association):</a></span></div></li>
   <li class="level3"><div class="li"><span class="li"><a href="#contre_la_suite_l_association" class="toc">Contre la Suite (l'association):</a></span></div></li>
   <li class="level3"><div class="li"><span class="li"><a href="#pour_les_applications_monolithiques" class="toc">Pour les applications monolithiques:</a></span></div></li>
  -<li class="level3"><div class="li"><span class="li"><a href="#monolithic_application_cons" class="toc">Monolithic application cons:</a></span></div></li>
  +<li class="level3"><div class="li"><span class="li"><a href="#contre_les_applications_monolithiques" class="toc">Contre les applications monolithiques:</a></span></div></li>
   </ul>
   </li>
  -<li class="level2"><div class="li"><span class="li"><a href="#what_license_does_geda_use" class="toc">What license does gEDA use?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#where_can_i_get_more_information_about_and_download_geda" class="toc">Where can I get more information about and download gEDA?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#okay_how_do_i_start_using_geda" class="toc">Okay, how do I start using gEDA?</a></span></div></li></ul>
  +<li class="level2"><div class="li"><span class="li"><a href="#quelle_est_la_licence_utilisee_par_geda" class="toc">Quelle est la licence utilisée par gEDA?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#ou_puis-je_avoir_plus_d_informations_a_propos_de_geda_et_sur_son_telechargement" class="toc">Où puis-je avoir plus d'informations à propos de gEDA et sur son téléchargement?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#ok_comment_je_comence_a_utiliser_geda" class="toc">OK, comment je comence à utiliser gEDA?</a></span></div></li></ul>
   </li></ul>
   </div>
   </div>
  @@ -244,81 +244,81 @@
   
   </div>
   <!-- SECTION [14706-15166] -->
  -<h3><a name="monolithic_application_cons" id="monolithic_application_cons">Monolithic application cons:</a></h3>
  +<h3><a name="contre_les_applications_monolithiques" id="contre_les_applications_monolithiques">Contre les applications monolithiques:</a></h3>
   <div class="level3">
   <ul>
  -<li class="level1"><div class="li"> Not infinitely scalable. One developer canâ??t do everything, no matter how smart. Therefore, a monolithic app will never approach the size or power of a suite developed by a confederacy of programmers.</div>
  +<li class="level1"><div class="li"> Elles ne sont pas ajustables indéfiniment. Un développeur ne peut pas tout faire, quelque soit sa force. C&rsquo;est la raison pour laquelle une application monolithique n&rsquo;aura jamais la puissance d&rsquo;une suite développée par une confédération de programmeurs.</div>
   </li>
  -<li class="level1"><div class="li"> Lack of choice. If the developer doesnâ??t like your way of doing things, you have no choice. Even if you submit patches to enable your way of performing a task, there is a chance the main developer will ignore or reject your patches. This is probably not an issue for newbies, but for â??power usersâ?? it represents a problem.</div>
  +<li class="level1"><div class="li"> Manque de choix. Si le développeur n&rsquo;aime pas votre manière de faire les choses, vous n&rsquo;auvez aucun autre choix. Même si vous soumettez des patches pour permettre pour pouvoir travailler à votre manière, il est possible que le développeur principal ignore ou rejette vos modifications. Ce n&rsquo;est probablement pas grave pour les nouveaux mais çà l&rsquo;est pour les « utilisateurs intensifs ».</div>
   </li>
  -<li class="level1"><div class="li"> Risk. If the apps developer quits, the code becomes abandoned, and the users suffer. This effectively happened to the program <a href="http://sourceforge.net/projects/xtrkcad"; class="urlextern" title="http://sourceforge.net/projects/xtrkcad";  rel="nofollow">XTrkCAD</a>, a CAD program for designing model railroads. The author of this program quit developing it, but thankfully placed his stuff on Sourceforge so that the program wouldnâ??t simply disappear. Unfortunately, without the original developerâ??s involvement, the code languished. Patches contributed to the project went to /dev/null. Eventually, a coalition of concerned user/developers created a <a href="http://xtrkcad-fork.sourceforge.net/"; class="urlextern" title="http://xtrkcad-fork.sourceforge.net/";  rel="nofollow">fork</a> of the code to enable further development. However, work on the forked code has been piecemeal and sporadic. (Hopefully, this will change someday.) Meanwhile, for the ordinary user, the fact that the original developer quit represents a catastrophe.</div>
  +<li class="level1"><div class="li"> Risque. Si le développeur de l&rsquo;application abandonne, le code est abandoné et les utilisateurs en patissent. C&rsquo;est ce qui s&rsquo;est produit avec le programme <a href="http://sourceforge.net/projects/xtrkcad"; class="urlextern" title="http://sourceforge.net/projects/xtrkcad";  rel="nofollow">XTrkCAD</a>, un programme de CAO pour concevoir des voies ferrées. L&rsquo;auteur du programme a cessé de le développer mais l&rsquo;a heureusement placé sur Sourceforge pour l&rsquo;empêcher de disparaître. Malheureusement, sans l&rsquo;implication du développeur originel, le code a stagné. Les patches des contributeurs sont allés dans /dev/null. Une coalition d&rsquo;utilisateurs/développeurs ont fini par créer un <a href="http://xtrkcad-fork.sourceforge.net/"; class="urlextern" title="http://xtrkcad-fork.sourceforge.net/";  rel="nofollow">fork</a> du code pour permettre un développement ultérieur. Néanmoins, le travail sur le code forké a été parcimonieux et sporadique. (J&rsquo;espère que cela changera un jour.) pendant ce temps, pour l&rsquo;utilisateur normal, l&rsquo;abandon du développeur a représenté une catastrophe.</div>
   </li>
   </ul>
   
   </div>
  -<!-- SECTION [15167-16614] -->
  -<h2><a name="what_license_does_geda_use" id="what_license_does_geda_use">What license does gEDA use?</a></h2>
  +<!-- SECTION [15167-16800] -->
  +<h2><a name="quelle_est_la_licence_utilisee_par_geda" id="quelle_est_la_licence_utilisee_par_geda">Quelle est la licence utilisée par gEDA?</a></h2>
   <div class="level2">
   
   <p>
  -All of the tools and associated files in gEDA will be released under the GNU General Public License version 2 (<acronym title="GNU General Public License">GPL</acronym>), from Free Software Foundation
  +Tous les outils et fichiers associés de gEDA sont sous GNU General Public License version 2 (<acronym title="GNU General Public License">GPL</acronym>), de la Free Software Foundation
   </p>
   
   <p>
  -From the license:
  +La licence:
   </p>
   
   <p>
  -When we speak of free software, we are referring to freedom, not price. Our General Public Licenses are designed to make sure that you have the freedom to distribute copies of free software (and charge for this service if you wish), that you receive source code or can get it if you want it, that you can change the software or use pieces of it in new free programs; and that you know you can do these things.
  +Lorsque nous parlons de logiciels libre, nous nous référons à la liberté, pas au prix. Nos « General Public Licenses » sont conçues pour s&rsquo;assurer que vous avez la liberté de distribuer des copies des logiciels libres (et de faire payer pour ce service si vous le souhaitez), que vous recevez le code source ou que vous puissiez l&rsquo;obtenir si vous le souhaitez, que vous pouvez modifier le logiciel ou en utiliser des morceaux dans de nouveaux programmes libres; et que vous sachiez comment le faire.
   </p>
   
   <p>
  -This cannot be stressed enough: <strong>gEDA is GPLed software</strong>. Therefore nothing proprietary can be distributed with gEDA like part libraries from proprietary EDA products. Conversion program for proprietary libraries will be available, but any converted files which are part of a proprietary product must never find their way into gEDA. Contributed files must be GPLable (or be placed under another free license). Please keep this in mind if you wish to contribute something.
  +Ce n&rsquo;est jamais assez répété: « gEDA est un logiciel <acronym title="GNU General Public License">GPL</acronym> ». C&rsquo;est la raison pour laquelle rien de propriétaire ne peut être distribué avec gEDA, tel que les bibliothèques de composants depuis les produits d&rsquo;EDA propriétaires. Le programme de conversion pour les bibliothèques propriétaires sera disponible mais les fichiers de conversion qui font partie du produit propriétaire ne doivent jamais être mis dans gEDA. Les fichiers contribués doivent pouvoir être <acronym title="GNU General Public License">GPL</acronym> (ou être placé sous une autre licence libre). Veuillez garder ceci en mémoire si vous souhaitez y contribuer.
   </p>
   
   <p>
  -Even though the focus of gEDA is GPLed software, other software licenses are more than welcome to be mixed with the existing software, just as long as they are compatible with the <acronym title="GNU General Public License">GPL</acronym>.
  +Bien que l&rsquo;ojectif de gEDA soit les logiciels <acronym title="GNU General Public License">GPL</acronym>, les logiciels avec d&rsquo;autres licences sont plus que les bienvenus, tant qu&rsquo;ils restent compatibles avec la <acronym title="GNU General Public License">GPL</acronym>.
   </p>
   
   </div>
  -<!-- SECTION [16615-17893] -->
  -<h2><a name="where_can_i_get_more_information_about_and_download_geda" id="where_can_i_get_more_information_about_and_download_geda">Where can I get more information about and download gEDA?</a></h2>
  +<!-- SECTION [16801-18266] -->
  +<h2><a name="ou_puis-je_avoir_plus_d_informations_a_propos_de_geda_et_sur_son_telechargement" id="ou_puis-je_avoir_plus_d_informations_a_propos_de_geda_et_sur_son_telechargement">Où puis-je avoir plus d'informations à propos de gEDA et sur son téléchargement?</a></h2>
   <div class="level2">
   
   <p>
  -The official website is <a href="http://geda.seul.org/"; class="urlextern" title="http://geda.seul.org/";  rel="nofollow">gEDA Project</a> hosted by the <a href="http://www.seul.org/"; class="urlextern" title="http://www.seul.org/";  rel="nofollow">SEUL Project</a>. The European mirror is at <a href="http://ftp.sunet.se/geda/"; class="urlextern" title="http://ftp.sunet.se/geda/";  rel="nofollow">European gEDA Project mirror</a> hosted by Swedish University Network - Sweden, Nothern Europe.
  +Le site officiel est <a href="http://geda.seul.org/"; class="urlextern" title="http://geda.seul.org/";  rel="nofollow">gEDA Project</a>, hébergé par le <a href="http://www.seul.org/"; class="urlextern" title="http://www.seul.org/";  rel="nofollow">projet SEUL</a>. Le miroir européen est sur <a href="http://ftp.sunet.se/geda/"; class="urlextern" title="http://ftp.sunet.se/geda/";  rel="nofollow">miroir du projet Européen de gEDA</a> hébergé par le réseau des universités suédoises.
   </p>
   
   <p>
  -There are several mailing lists. Please look at the mailing listâ??s <a href="http://geda.seul.org/mailinglist"; class="urlextern" title="http://geda.seul.org/mailinglist";  rel="nofollow">info page</a> for how to subscribe and post.
  +Il existe plusieurs listes de diffusion. Veuillez aller voir la <a href="http://geda.seul.org/mailinglist"; class="urlextern" title="http://geda.seul.org/mailinglist";  rel="nofollow">page d'info</a> sur les listes de diffusion pour savoir comment souscrire et poster.
   </p>
   
   <p>
  -You can download all the software, including the gEDA suite CDROM from the <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">download</a> page.
  +Vous pouvez télécharger tous les logiciels, incluant la suite gEDA sur CDROM depuis la page <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">download</a>.
   </p>
   
   <p>
  -You can find the latest set of documentation on the toplevel <a href="http://geda.seul.org/docs/"; class="urlextern" title="http://geda.seul.org/docs/";  rel="nofollow">documentation</a> page.
  +Vous pouvez trouver le dernier jeu de documentation sur la page de sommaire <a href="http://geda.seul.org/docs/"; class="urlextern" title="http://geda.seul.org/docs/";  rel="nofollow">documentation</a>.
   </p>
   
   <p>
  -Come to the Free EDA Users Group (Freedog) meeeting in Cambridge, MA. The meeting is at 7PM on the first Wednesday of each month at the <a href="http://www.starbucks.com/retail/locator/MapResults.aspx?a=1&StoreKey=93728&IC_O=42.3599350625432%3a-71.1021394862385%3a32%3a02139+(postal+code)%2c+Massachusetts%2c+United+States&GAD1_O=&GAD2_O=&GAD3_O=02139+(postal+code)%2c+Massachusetts%2c+United+States&GAD4_O=&radius=5&countryID=244&dataSource=MapPoint.NA" class="urlextern" title="http://www.starbucks.com/retail/locator/MapResults.aspx?a=1&amp;StoreKey=93728&amp;IC_O=42.3599350625432%3a-71.1021394862385%3a32%3a02139+(postal+code)%2c+Massachusetts%2c+United+States&amp;GAD1_O=&amp;GAD2_O=&amp;GAD3_O=02139+(postal+code)%2c+Massachusetts%2c+United+States&amp;GAD4_O=&amp;radius=5&amp;countryID=244&amp;dataSource=MapPoint.NA"  rel="nofollow">Kendal Square Starbucks</a>.
  +Venez à la réunion des « Free EDA Users Group » (Freedog) de Cambridge, MA. La réunion est à 7H le premier mercredi de chaque mois au <a href="http://www.starbucks.com/retail/locator/MapResults.aspx?a=1&StoreKey=93728&IC_O=42.3599350625432%3a-71.1021394862385%3a32%3a02139+(postal+code)%2c+Massachusetts%2c+United+States&GAD1_O=&GAD2_O=&GAD3_O=02139+(postal+code)%2c+Massachusetts%2c+United+States&GAD4_O=&radius=5&countryID=244&dataSource=MapPoint.NA" class="urlextern" title="http://www.starbucks.com/retail/locator/MapResults.aspx?a=1&amp;StoreKey=93728&amp;IC_O=42.3599350625432%3a-71.1021394862385%3a32%3a02139+(postal+code)%2c+Massachusetts%2c+United+States&amp;GAD1_O=&amp;GAD2_O=&amp;GAD3_O=02139+(postal+code)%2c+Massachusetts%2c+United+States&amp;GAD4_O=&amp;radius=5&amp;countryID=244&amp;dataSource=MapPoint.NA"  rel="nofollow">Kendal Square Starbucks</a>.
   </p>
   
   </div>
  -<!-- SECTION [17894-19094] -->
  -<h2><a name="okay_how_do_i_start_using_geda" id="okay_how_do_i_start_using_geda">Okay, how do I start using gEDA?</a></h2>
  +<!-- SECTION [18267-19556] -->
  +<h2><a name="ok_comment_je_comence_a_utiliser_geda" id="ok_comment_je_comence_a_utiliser_geda">OK, comment je comence à utiliser gEDA?</a></h2>
   <div class="level2">
   
   <p>
  -The most important thing to do is to read and understand Bill Wilsonâ??s excellent <a href="http://geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html"; class="urlextern" title="http://geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html";  rel="nofollow">gschem -&gt; gsch2pcb -&gt; PCB</a> tutorial. This should get you started.
  +La chose la plus importante à faire est de lire et de comprendre l&rsquo;excellent tutoriel de Bill Wilson <a href="http://geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html"; class="urlextern" title="http://geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html";  rel="nofollow">gschem -&gt; gsch2pcb -&gt; PCB</a>. Cela vous permettra de débuter.
   </p>
   
   <p>
  -Also be sure to check out the other <a href="http://geda.seul.org/docs"; class="urlextern" title="http://geda.seul.org/docs";  rel="nofollow">gEDA documentation</a>. An installation guide is contained in this Wiki, as is some general information about how to use the tools. Spend some time browsing, download the gEDA Suite, and try it out for yourself! 
  +Assurez-vous aussi de visionner les autres <a href="http://geda.seul.org/docs"; class="urlextern" title="http://geda.seul.org/docs";  rel="nofollow">documentations gEDA</a>. Un guide d&rsquo;installation est contenu dans ce Wiki, ainsi que des informations générales sur l&rsquo;utilisation de ces outils. Prenez un peu de temps à naviguer, télécharger la Suite gEDA Suite et essayez-le par vous même! 
   </p>
   
   </div>
  -<!-- SECTION [19095-] --></div>
  +<!-- SECTION [19557-] --></div>
   </body>
   </html>
  
  
  
  1.2       +49 -1     eda/geda/gaf/docs/wiki/001geda_glossary.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_glossary.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_glossary.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- 001geda_glossary.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_glossary.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -12,12 +12,60 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:glossary.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:glossary.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-09-29T17:08:17-0400" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +
  +<h1><a name="glossaire_des_termes_de_geda_et_des_eda" id="glossaire_des_termes_de_geda_et_des_eda">Glossaire des termes de gEDA (et des EDA)</a></h1>
  +<div class="level1">
  +
  +<p>
  + La conception en électronique implique beaucoup de termes spécifiques. Quelques termes ont une signification qui ne ressemble que vaguement à leur utilisation dans la vie de tous les jours. Ce glossaire est destiné à devenir un dictionaire de termes spécifiques à la suite gEDA, de même que monde plus grand des CAO pour l&rsquo;électronique. Malheureusement, il n&rsquo;existe pas de méthode universelle de nommage des concepts dans le champ de la conception électronique. Le glossaire donnera des éléments sur la manière dont les choses sont appelées  dans les autres suites de conception.
  +</p>
  +
  +<p>
  +<span class="hilited">(Aux auteurs du Wiki:Veuillez insérer les nouveaux termes dans l&rsquo;ordre de l&rsquo;alphabet)</span>
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> <strong>anneau annulaire (annular ring)</strong>: L&rsquo;anneau annulaire, quelque fois aussi appelé <strong>annulus</strong>, est un diamètre de cuivre qui doit être placé autour des trous métallisés comme les pastilles et les vias. La taille minimum de l&rsquo;anneau annulaire est spécifié par les fabricants de pcb. Une spécification habituelle est de 16 mil plus large que le trou.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>empreinte (footprint)</strong>: Le dessin de métal et de silkscreen qui définit où vous placez un composant sur un circuit. Les empreintes sont disposées par l&rsquo;utilisateur sur le circuit imprimé lors de la phase de « placement » (en utilisant i.e. l&rsquo;outil open-source PCB). Une empreinte est aussi quelque fois appelée un « decal » (PADS) ou un « land-pattern ».</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>flux de conception (design flow)</strong>: L&rsquo;ordre des étapes dans lequel vous faites progresser votre étude depuis le concept initial, par la saisie de schéma, l&rsquo;ajout d&rsquo;attribut, le netlisting et le circuit imprimé. On utilisera typiquement plusieurs outils pour accomplir différentes tâches pendant la réalisation. La Suite gEDA utilise des programmes entièrement séparés pour les différents étapes du cheminement; chaque outil de la suite lit le fichier de sortie produit par l&rsquo;outil précédent et écrit un fichier pouvant être lu par l&rsquo;outil suivant dans la chaîne. Le flux de conception de gEDA pour réaliser un PCB est illustré  <a href="http://geda.seul.org/dokuwiki/doku.php?id=geda:usage#what_does_the_design_flow_in_geda_look_like"; class="urlextern" title="http://geda.seul.org/dokuwiki/doku.php?id=geda:usage#what_does_the_design_flow_in_geda_look_like";  rel="nofollow">ici</a>. Notez que le flux du cheminement pour des tâches différentes peut varier. Par exemple, si votre but est de simuler votre circuit, vous utiliserez un flux différent de celui montré dans le lien ci-dessus. Finalement, les autres outils de conception de PCB â?? habituellement plus simples â?? utilisent une approche monolithique dans laquelle un seul programme (albeit avec différents modes d&rsquo;édition) est utilisé pour réaliser le montage complet. Déterminer la meilleure approche est plus une affaire de croyance personnelle.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>net</strong>: Un net est la représentation d&rsquo;un fil ou d&rsquo;une connexion électrique dans un schéma. C&rsquo;est, basiquement, une ligne connectant deux broches de symbole. Le terme « net » est aussi quelque fois utilisé pour parler d&rsquo;une connexion électrique (via une fil ou une piste de PCB) dans un circuit réel. Quelques outils de saisie de schéma appellent un net un « fil ».</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>netlist</strong>: Une netlist est une représentation en fichier texte de votre circuit qui souligne les connexions entre les différents éléments du circuit, peut être indépendants des boîtiers physiques constituants les composants réels du circuit.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>pad</strong>: Une pastille est une zone de cuivre sur lequel un SMD sera soudé. Bien que les pastilles soient habituellement carrées, elles peuvent aussi être rondes.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>pin</strong>: Une patte/broche est un trou dans un circuit imprimé qui permet de connecter un composant câblé. Dans plusieurs cas, le trou est rempli de cuivre par le fabricant.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>pcb</strong>: Dans le contexte de gEDA, cet acronyme a deux significations distinctes:</div>
  +<ol>
  +<li class="level2"><div class="li"> Un abbréviation de « printed circuit board ». C&rsquo;est la partie qui est utilisée pour connecter les composants électroniques. Elle est aussi quelque fois appelée une « carte de câblage imprimée » (PWB), bien que cette utilisation ait été abandonné.</div>
  +</li>
  +<li class="level2"><div class="li"> Un puissant outil open-source, utilisé pour concevoir la disposition d&rsquo;un circuit imprimé. La sortie des outils de gaf peut être utilisée comme entrée à pcb. (<a href="http://pcb.sourceforge.net/index.html"; class="urlextern" title="http://pcb.sourceforge.net/index.html";  rel="nofollow">page d'accueil de pcb</a>)</div>
  +</li>
  +</ol>
  +</li>
  +<li class="level1"><div class="li"> <strong>rats nest</strong>: Les lignes dessinées sur la zone de travail du circuit, qui relient les pastilles devant encore être connectées avec des pistes. Contrairement aux pistes réelles, les rats nest sont des lignes droites. Si plusieurs pastilles sont impliquées dans un net, pcb tente de dessiner les rats nests avec les longueurs les plus courtes possibles.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>refdes</strong>: Raccourci pour « reference designator » (numéro de référence). Le numéro de référence (ou nom) d&rsquo;un composant. Les outils gEDA se réfèrent aux refdes pour organiser les composants en internes. C&rsquo;est la raison pour laquelle, pour une création réussie de circuit imprimé, chaque composant doit être lié avec un refdes. Habituellement, le refdes consiste quelques majuscules et un chiffre. Exemples: R1, R2, U115, CONN3. (Protel: « Designator »)</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>slot</strong>: Quelques composants contiennent de multiples parties identiques à l&rsquo;intérieur d&rsquo;un boîtier. Les IO (Input/output, entrées/sorties) pour chaque partie sont disposées pour différents jeux de broches sur le boîtier. Un exemple classique est la quadruple porte NAND TTL 7400. Gschem (comme d&rsquo;autres paquets de saisie de schéma) traite ce type de composant en vous permettant de dessiner quatre symboles NAND séparés et de sélectionner ensuite quel « slot » devrait avoir chaque symbole en attachant un attribut de slot au symbole. Dans l&rsquo;exemple du quadruple NAND 7400, vous sélectionneriez « <code>slot=1</code> » pour la première apparition du symbole, slot=2 pour la seconde et ainsi de suite. Notez que dans gschem, vous n&rsquo;avez besoin d&rsquo;attacher les liaisons d&rsquo;alimentation à un composant en slot qu&rsquo;une seule fois. (Les autres programme de saisie de schéma comme Orcad vous demandent d&rsquo;attacher les liaisons communes â?? comme l&rsquo;alimentation â?? sur chaque instantiation du symbole en slot.)</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>symbole</strong>: Un symbole est la représentation d&rsquo;un composant particulier dans votre schéma. Les exemples classiques sont les lignes en zig-zag pour les résistances (dans l&rsquo;utilisation US néanmoins) ou un triangle pour un ampli opérationnel. En utilisant un programme de saisie de schémas (i.e. gschem), vous placez les symboles sur votre carte et alors les câbler pour créer une représentation de votre circuit.</div>
  +</li>
  +<li class="level1"><div class="li"> <strong>via</strong>: Un via est un trou métallisé qui qui est destiné à connecter électriquement des différentes couches du circuit imprimé. Habituellement, les vias sont aussi petits que possible pour sauvegarder de la place sur le le pcb.</div>
  +</li>
  +</ul>
  +
  +</div>
   </div>
   </body>
   </html>
  
  
  
  1.2       +254 -1    eda/geda/gaf/docs/wiki/001geda_installation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_installation.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_installation.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- 001geda_installation.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_installation.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -12,12 +12,265 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:installation.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:installation.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-09-19T16:28:02-0400" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +<div class="toc">
  +<div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  +<div id="toc__inside">
  +
  +<ul class="toc">
  +<li class="level1"><div class="li"><span class="li"><a href="#aide_a_l_installation" class="toc">Aide à l'installation</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#comment_installer_la_suite_geda" class="toc">Comment installer la Suite gEDA?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_uninstall_the_geda_suite" class="toc">How do I uninstall the gEDA Suite?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#why_would_i_want_to_uninstall_the_geda_suite" class="toc">Why would I want to uninstall the gEDA Suite?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#aaaargh_i_put_the_cd_into_my_reader_and_mounted_the_cd_but_nothing_happened" class="toc">Aaaargh! I put the CD into my reader, and mounted the CD, but nothing happened!</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#help_me_i_m_trying_to_install_using_the_cd_rom_but_the_install_wizard_says_i_have_an_error" class="toc">Help me! I'm trying to install using the CD ROM, but the install wizard says I have an error!</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#waaaa_the_installation_ran_to_completion_but_i_get_a_segfault_or_other_problem_when_i_run_gschem_or_gattrib_or_gnetlist_or" class="toc">Waaaa! The installation ran to completion, but I get a segfault (or other problem) when I run gschem (or gattrib, or gnetlist, or. . . )!</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#fedora_core_4_install_notes" class="toc">Fedora Core 4 install notes</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#fedora_core_3_install_notes" class="toc">Fedora Core 3 install notes</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#fedora_core_2_install_notes" class="toc">Fedora Core 2 install notes</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#fedora_core_1_install_notes" class="toc">Fedora Core 1 install notes</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#suse_9.3_install_notes" class="toc">Suse 9.3 install notes</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#suse_10.0_install_notes" class="toc">Suse 10.0 install notes</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#suse_10.1_install_notes" class="toc">Suse 10.1 install notes</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#notes_d_installation_debian" class="toc">Notes d'installation Debian</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#windows_install_notes" class="toc">Windows install notes</a></span></div></li></ul>
  +</li></ul>
   </div>
  +</div>
  +
  +<h1><a name="aide_a_l_installation" id="aide_a_l_installation">Aide à l'installation</a></h1>
  +<div class="level1">
  +
  +<p>
  +Cette section fournit quelques informations utiles aux personnes qui veulent installer gEDA sur leur ordinateur.
  +</p>
  +
  +</div>
  +<!-- SECTION [1-151] -->
  +<h2><a name="comment_installer_la_suite_geda" id="comment_installer_la_suite_geda">Comment installer la Suite gEDA?</a></h2>
  +<div class="level2">
  +
  +<p>
  +The easiest way to install the gEDA Suite is to grab the gEDA Suite CD ROM and use it. The instructions are contained in the README available on the <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">downloads page</a>.  <strong><em>Note that the CD ROM installer assumes that you are running the Gnome desktop!  The same is true of the gEDA tools:  They use the GTK widget set which underlies Gnome.  If you are running KDE, you need to at least get the Gnome libraries installed on your machine before trying to run the installer.</em></strong>
  +</p>
  +
  +<p>
  +The gEDA Suite CD holds the tarballs of more than one dozen popular gEDA applications. It also incorporates a <acronym title="Graphical User Interface">GUI</acronym>-based install wizard which checks your system configuration, asks you a few questions, and then oversees the compilation and installation of the different gEDA applications. The install wizard just automates the normal â??./configure &amp;&amp; make &amp;&amp; make installâ?? process used to build GNU software from source. Therefore, it is more or less platform independent (as long as you are running Linux).
  +</p>
  +
  +<p>
  +In the event that the install wizard canâ??t automatically install the gEDA Suite, you can still get the source tarballs off the CD and build them manually. The instructions are available from the download web page, as well as in the INSTALL file on the CD.
  +</p>
  +
  +<p>
  +Also, people have created RedHat RPMs, Debian Debs, and Mac OSX Fink packages if you prefer to install that way. These binary distributions are available on the <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">downloads page</a>. Finally, source tarballs for all programs are also available on the <a href="http://geda.seul.org/download.html"; class="urlextern" title="http://geda.seul.org/download.html";  rel="nofollow">downloads page</a>.
  +</p>
  +
  +<p>
  +By the way, itâ??s always a good idea to install gEDA into its own directory. That way, when you want to upgrade the package you just need to delete the directory and re-install. (This advice is true for any large suite of applications in unix.) More on this below.
  +</p>
  +
  +<p>
  +Finally, if the instructions here arenâ??t enough, David Hart has placed a useful and detailed <a href="http://www.offramp.com/Lab-Install/doku.php?id=fc4#create_user_geda_account_and_install_geda_tool_suite"; class="urlextern" title="http://www.offramp.com/Lab-Install/doku.php?id=fc4#create_user_geda_account_and_install_geda_tool_suite";  rel="nofollow">guide for configuring and installing gEDA</a> (for Fedora Core 4, but probably helpful with other distros too), as well as a <a href="http://www.offramp.com/Lab-Install/doku.php?id=fc4#geda_tutorial"; class="urlextern" title="http://www.offramp.com/Lab-Install/doku.php?id=fc4#geda_tutorial";  rel="nofollow">gEDA Tutorial</a> for new users.
  +</p>
  +
  +</div>
  +<!-- SECTION [152-2510] -->
  +<h2><a name="how_do_i_uninstall_the_geda_suite" id="how_do_i_uninstall_the_geda_suite">How do I uninstall the gEDA Suite?</a></h2>
  +<div class="level2">
  +
  +<p>
  +As of this writing, no advanced method to uninstall the gEDA Suite exists. Unlike a certain commercial operating system, Linux (and unix) were not designed with the goal of easy package management in mind, and we all suffer with this legacy.
  +</p>
  +
  +<p>
  +Therefore, we recommend that you install the gEDA Suite into its own special directory. For example, the CD Installer will place the Suiteâ??s executables into <strong><code>${HOME}/geda-install</code></strong> by default. Then, if you need to uninistall the gEDA Suite, you can just delete the entire directory.
  +</p>
  +
  +<p>
  +<span class="hilited">(More advanced methods to install/uninstall packages on Linux/unix also exist. Could somebody please write about them here?)</span>
  +</p>
  +
  +</div>
  +<!-- SECTION [2511-3222] -->
  +<h2><a name="why_would_i_want_to_uninstall_the_geda_suite" id="why_would_i_want_to_uninstall_the_geda_suite">Why would I want to uninstall the gEDA Suite?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Different applications belonging to gEDA/gaf all use the same shared library, libgeda.so. Things in the library change from one gEDA release to another. Therefore, applications are prevented from linking to libgeda.so if their release codes donâ??t match.
  +</p>
  +
  +<p>
  +If you need to upgrade one application in gEDA/gaf, you will need to first uninstall your old version, and then install an entirely new set of applications, including the library and all components of gEDA/gaf.
  +</p>
  +
  +<p>
  +Alternately, you can install the new gEDA/gaf into a new directory, and then edit your <strong><code>${PATH}</code></strong>, <strong><code>${PKG_CONFIG_PATH}</code></strong>, and <strong><code>${LD_LIBRARY_PATH}</code></strong> environment variables to remove the old directory, and point to the new one. Make sure you do this <strong>before</strong> you try installing the new gEDA/gaf.
  +</p>
  +
  +</div>
  +<!-- SECTION [3223-4060] -->
  +<h2><a name="aaaargh_i_put_the_cd_into_my_reader_and_mounted_the_cd_but_nothing_happened" id="aaaargh_i_put_the_cd_into_my_reader_and_mounted_the_cd_but_nothing_happened">Aaaargh! I put the CD into my reader, and mounted the CD, but nothing happened!</a></h2>
  +<div class="level2">
  +
  +<p>
  + Amazingly enough, some people simply copy the installer .iso file using â??cpâ?? onto a blank CD, and then try to use it. This wonâ??t work. You need to â??burnâ?? a CD with the .iso in a way which writes the whole filesystem directly onto the CDROM. The linux/unix command to do this is usually â??cdrecordâ??, or perhaps a <acronym title="Graphical User Interface">GUI</acronym> derivative of this utility. Donâ??t just â??cpâ?? the .iso file onto a blank CD!
  +</p>
  +
  +<p>
  +Many modern Linux distributions will not automatically run executables on installed media. This is a security precaution. To overcome this, you need to mount the CD in a way which grants permission for executables to run. For example, in Gentoo and Debian you should mount the CD ROM this way: 
  +</p>
  +<pre class="code">mount -o exec -t iso9660 /dev/cdrom /mnt/cdrom</pre>
  +
  +<p>
  +The detailed flag or mount point relevant to your distribution might be a little different; read the manual for mount (â??man mountâ??) if you have any questions about how to do this.
  +</p>
  +
  +<p>
  +After you have mounted the CD with execute permission, you can then run the installer from the command line like this: 
  +</p>
  +<pre class="code">/mnt/cdrom/installer</pre>
  +
  +<p>
  +At this point, the install wizardâ??s <acronym title="Graphical User Interface">GUI</acronym> should pop up, and you can get to installing. If you canâ??t install, please try doing an â??lsâ?? of the CD to see if it is readable. That is, do this: 
  +</p>
  +<pre class="code">ls -l /mnt/cdrom/</pre>
  +
  +<p>
  +And verify that you get a directory listing instead of an error message (or nothing at all).
  +</p>
  +
  +</div>
  +<!-- SECTION [4061-5579] -->
  +<h2><a name="help_me_i_m_trying_to_install_using_the_cd_rom_but_the_install_wizard_says_i_have_an_error" id="help_me_i_m_trying_to_install_using_the_cd_rom_but_the_install_wizard_says_i_have_an_error">Help me! I'm trying to install using the CD ROM, but the install wizard says I have an error!</a></h2>
  +<div class="level2">
  +
  +<p>
  + First off, please keep in mind that the CD ROMâ??s installer only works on Linux. The CD ROM installer will not work on Sun, BSD, or Mac OSX, and it certainly wonâ??t work on Windows.
  +</p>
  +
  +<p>
  +As a general rule, if you are having problems installing gEDA from the CD, here are the things you can try:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> If you run the installer with the <strong><code>â??log</code></strong> flag set, it will place a file called Install.log into your local directory (where you are running the installer). This file is a log of all commands issued and all responses generated during the install process. It allows you to save the data displayed on the log window displayed during the install process. Running the installer with <strong><code>â??log</code></strong> set is a good idea if you are experiencing problems; you can send your Install.log file to an expert who might be able to diagnose your problem. More on this later.</div>
  +</li>
  +<li class="level1"><div class="li"> After experiencing a problem, the first thing you should do is look through the gEDA Wiki. Itâ??s quite likely that somebody has already experienced your problem, reported it, and a work around has been found and posted. Different Linux distributions have displayed different problems in the past. Depending upon your distribution, consult the help sections below.</div>
  +</li>
  +<li class="level1"><div class="li"> If no mention of your specific problem has been posted on the Wiki, try a Google search. GEDA tips and tricks show up in many different places on the web, and Google can find them for you. Also, the geda-user list is continually indexed by Google. Since people frequently post bugs and bug workarounds there, Google will help you find these reports.</div>
  +</li>
  +<li class="level1"><div class="li"> Next, try posting a question on the geda-user e-mail list. Note that you must first subscribe to the geda-user e-mail list before posting any e-mail to the list. Others may have already developed a work-around for your problem. Some of the experts hang out on that list, and might offer a few helpful suggestions.</div>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [5580-7591] -->
  +<h2><a name="waaaa_the_installation_ran_to_completion_but_i_get_a_segfault_or_other_problem_when_i_run_gschem_or_gattrib_or_gnetlist_or" id="waaaa_the_installation_ran_to_completion_but_i_get_a_segfault_or_other_problem_when_i_run_gschem_or_gattrib_or_gnetlist_or">Waaaa! The installation ran to completion, but I get a segfault (or other problem) when I run gschem (or gattrib, or gnetlist, or. . . )!</a></h2>
  +<div class="level2">
  +
  +<p>
  +After you install the gEDA Suite off the CD ROM, make sure you do the following:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Set your <strong><code>${PATH}</code></strong> to point to the location where your new gEDA executables live (for example, <strong><code>/home/your-name/geda-install/bin</code></strong>). Make sure that you remove pointers to old gEDA editions, if they exist. You should not only set the <strong><code>${PATH}</code></strong> in your current shell, but you should also set it in your shell config scripts (i.e. .bashrc or .cshrc)</div>
  +</li>
  +<li class="level1"><div class="li"> Type â??rehashâ?? to update your executable search path.</div>
  +</li>
  +<li class="level1"><div class="li"> Set your <strong><code>${LD_LIBRARY_PATH}</code></strong> to point to the location where your new gEDA executables live (for example, <strong><code>/home/your-name/geda-install/lib</code></strong>). Make sure to remove pointers to old gEDA editions, if they exist. You should not only set the <strong><code>${LD_LIBRARY_PATH}</code></strong> in your current shell, but you should also set it in your shell config scripts (i.e. .bashrc or .cshrc)</div>
  +</li>
  +<li class="level1"><div class="li"> Run â??su -c ldconfigâ?? to tell the kernal where to find your new libgeda.so.</div>
  +</li>
  +</ol>
  +
  +</div>
  +<!-- SECTION [7592-8719] -->
  +<h2><a name="fedora_core_4_install_notes" id="fedora_core_4_install_notes">Fedora Core 4 install notes</a></h2>
  +<div class="level2">
  +
  +<p>
  +<a href="http://geda.seul.org/wiki/geda:fc4"; class="wikilink1" title="geda:fc4">FC4 notes available here</a>
  +</p>
  +
  +</div>
  +<!-- SECTION [8720-8798] -->
  +<h2><a name="fedora_core_3_install_notes" id="fedora_core_3_install_notes">Fedora Core 3 install notes</a></h2>
  +<div class="level2">
  +
  +<p>
  +<a href="http://geda.seul.org/wiki/geda:fc3"; class="wikilink1" title="geda:fc3">FC3 notes available here</a>
  +</p>
  +
  +</div>
  +<!-- SECTION [8799-8877] -->
  +<h2><a name="fedora_core_2_install_notes" id="fedora_core_2_install_notes">Fedora Core 2 install notes</a></h2>
  +<div class="level2">
  +
  +<p>
  +<a href="http://geda.seul.org/wiki/geda:fc2"; class="wikilink1" title="geda:fc2">FC2 notes available here</a>
  +</p>
  +
  +</div>
  +<!-- SECTION [8878-8956] -->
  +<h2><a name="fedora_core_1_install_notes" id="fedora_core_1_install_notes">Fedora Core 1 install notes</a></h2>
  +<div class="level2">
  +
  +<p>
  +<a href="http://geda.seul.org/wiki/geda:fc1"; class="wikilink1" title="geda:fc1">FC1 notes available here</a>
  +</p>
  +
  +</div>
  +<!-- SECTION [8957-9035] -->
  +<h2><a name="suse_9.3_install_notes" id="suse_9.3_install_notes">Suse 9.3 install notes</a></h2>
  +<div class="level2">
  +
  +<p>
  +<a href="http://geda.seul.org/wiki/geda:suse_9.3"; class="wikilink1" title="geda:suse_9.3">SuSE 9.3 notes available here</a>
  +</p>
  +
  +</div>
  +<!-- SECTION [9036-9119] -->
  +<h2><a name="suse_10.0_install_notes" id="suse_10.0_install_notes">Suse 10.0 install notes</a></h2>
  +<div class="level2">
  +
  +<p>
  +<a href="http://geda.seul.org/wiki/geda:suse_10.0"; class="wikilink1" title="geda:suse_10.0">SuSE 10.0 notes available here</a>
  +</p>
  +
  +</div>
  +<!-- SECTION [9120-9206] -->
  +<h2><a name="suse_10.1_install_notes" id="suse_10.1_install_notes">Suse 10.1 install notes</a></h2>
  +<div class="level2">
  +
  +<p>
  +<a href="http://geda.seul.org/wiki/geda:suse_10.1"; class="wikilink1" title="geda:suse_10.1">SuSE 10.1 notes available here</a>
  +</p>
  +
  +</div>
  +<!-- SECTION [9207-9293] -->
  +<h2><a name="notes_d_installation_debian" id="notes_d_installation_debian">Notes d'installation Debian</a></h2>
  +<div class="level2">
  +
  +<p>
  +Les <a href="001geda_debian.html" class="wikilink1" title="geda:debian.fr">notes d'installation Debian sont disponibles ici</a>
  +</p>
  +
  +</div>
  +<!-- SECTION [9294-9406] -->
  +<h2><a name="windows_install_notes" id="windows_install_notes">Windows install notes</a></h2>
  +<div class="level2">
  +
  +<p>
  +<a href="http://geda.seul.org/wiki/geda:cygwin"; class="wikilink1" title="geda:cygwin">Cygwin notes available here</a> 
  +</p>
  +
  +</div>
  +<!-- SECTION [9407-] --></div>
   </body>
   </html>
  
  
  
  1.2       +219 -1    eda/geda/gaf/docs/wiki/001geda_usage.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: 001geda_usage.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/001geda_usage.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- 001geda_usage.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ 001geda_usage.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -12,12 +12,230 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:usage.fr?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:usage.fr?do=export_raw"; />
  -  <meta name="robots" content="noindex,follow" />
  +  <meta name="date" content="2006-10-06T17:23:24-0400" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
   <body>
   <div class="dokuwiki export">
  +<div class="toc">
  +<div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  +<div id="toc__inside">
  +
  +<ul class="toc">
  +<li class="level1"><div class="li"><span class="li"><a href="#quelle_est_la_meilleure_maniere_d_apprendre_a_utiliser_geda" class="toc">Quelle est la meilleure manière d'apprendre à utiliser gEDA?</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#a_quoi_ressemble_de_le_flux_de_conception_de_geda" class="toc">Ã? quoi ressemble de le flux de conception de gEDA?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#quelles_sont_les_limitations_pour_les_outils_geda" class="toc">Quelles sont les limitations pour les outils gEDA?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#quels_fichiers_de_configuration_locaux_sont_utilises_pour_un_projet" class="toc">Quels fichiers de configuration locaux sont utilisés pour un projet?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#quels_sont_les_noms_et_les_emplacements_des_fichiers_rc_utilises_avec_les_applications_geda_gaf" class="toc">Quels sont les noms et les emplacements des fichiers RC utilisés avec les applications gEDA/gaf?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#qu_en_est-il_du_gestionnaire_de_projet" class="toc">Qu'en est-il du gestionnaire de projet?</a></span></div></li></ul>
  +</li></ul>
   </div>
  +</div>
  +
  +<h1><a name="quelle_est_la_meilleure_maniere_d_apprendre_a_utiliser_geda" id="quelle_est_la_meilleure_maniere_d_apprendre_a_utiliser_geda">Quelle est la meilleure manière d'apprendre à utiliser gEDA?</a></h1>
  +<div class="level1">
  +
  +<p>
  +La première chose à faire est de lire et comprendre l&rsquo;excellent <a href="http://geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html"; class="urlextern" title="http://geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html";  rel="nofollow">gschem -&gt; gsch2pcb -&gt; PCB</a> tutoriel de Bill Wilson. Cela devrait vous permettre de débuter.
  +</p>
  +
  +<p>
  +Allez aussi voir les autres <a href="http://geda.seul.org/docs"; class="urlextern" title="http://geda.seul.org/docs";  rel="nofollow">documentations gEDA</a> disponible sur ce site.
  +</p>
  +
  +<p>
  +Néanmoins, peut être que la meilleure voie pour apprendre la suite gEDA est de le télécharger et de l&rsquo;essayer vous-même! Si vous consultez le <a href="http://geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html"; class="urlextern" title="http://geda.seul.org/docs/current/tutorials/gsch2pcb/tutorial.html";  rel="nofollow">tutoriel</a> de Bill Wilson en essayant la Suite pour vous-même, vous deviendrez un expert en peu de temps!
  +</p>
  +
  +</div>
  +<!-- SECTION [1-734] -->
  +<h2><a name="a_quoi_ressemble_de_le_flux_de_conception_de_geda" id="a_quoi_ressemble_de_le_flux_de_conception_de_geda">Ã? quoi ressemble de le flux de conception de gEDA?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Vous avez ici un schéma de synthèse pour un flux de création de PCB en utilisant la Suite gEDA:
  +</p>
  +
  +<p>
  +<a href="_detail/001geda_design_flow.html" class="media" title="geda:design_flow.jpg"><img src="http://geda.seul.org/wiki/_media/geda:design_flow.jpg?w=&h=&cache=cache"; class="media" title="design_flow.jpg" alt="design_flow.jpg" /></a>
  +</p>
  +
  +<p>
  +Mis en mots, le flux de conception pour un PCB simple se fait de la manière suivante:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> Créez vos schémas en utilisant « gschem ».</div>
  +</li>
  +<li class="level1"><div class="li"> Contrôlez vos schémas avec le vérificateur de DRC. Vous pouvez apprendre à le maîtriser <a href="http://geda.seul.org/wiki/geda:faq-attribs#how_do_i_check_my_schematics"; class="wikilink1" title="geda:faq-attribs">ici</a>.</div>
  +</li>
  +<li class="level1"><div class="li"> Assigner des références à vos composants en utilisant « grenum » ou « refdes_renum » (ou simplement les attacher depuis « gschem »).</div>
  +</li>
  +<li class="level1"><div class="li"> Assignez d&rsquo;autres attributs de composants (tels que des empreintes) en utilisant « gattrib » (ou attachez les simplement manuellement en utilisant « gschem »).</div>
  +</li>
  +<li class="level1"><div class="li"> Créez un fichier préliminaire de synthèse et faites une netlist en utilisant « gsch2pcb ».</div>
  +</li>
  +<li class="level1"><div class="li"> Disposez les composants et routez les connexions de votre carte en utilisant « pcb ».</div>
  +</li>
  +<li class="level1"><div class="li"> Sortez les Gerbers depuis « pcb » en utilisant « File â??Print layout » et sélectionnez « Gerber/RS274X » comme type de fichier de sortie.</div>
  +</li>
  +</ol>
  +
  +<p>
  + Si vous faites des changements ou des ajouts à votre schéma ou des attributs, mettez votre fichier PCB comme ceci:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> �ditez votre schéma et/ou les attributs (« gschem » ou « gattrib »).</div>
  +</li>
  +<li class="level1"><div class="li"> Contrôlez votre schéma avec le contrôleur de DRC. Vous pouvez apprendre à le maîtriser <a href="http://geda.seul.org/wiki/geda:faq-attribs#how_do_i_check_my_schematics"; class="wikilink1" title="geda:faq-attribs">ici</a>.</div>
  +</li>
  +<li class="level1"><div class="li"> Annotez directement vos changements en utilisant « gsch2pcb ».</div>
  +</li>
  +<li class="level1"><div class="li"> Depuis « pcb », mettez à jour vos composants en utilisant « File â??Load layout data to paste buffer » puis cliquez sur la zone de dessin pour placer les composants.</div>
  +</li>
  +<li class="level1"><div class="li"> Depuis « pcb », mettez à jour votre netlist en utilisant « File â??Load netlist file ».</div>
  +</li>
  +</ol>
  +
  +<p>
  + Habituellement, les utilisateurs invoquent les outils individuels depuis la ligne de commande. Un gestionnaire de projet (« geda ») existe mais a besoin d&rsquo;être amélioré.
  +</p>
  +
  +</div>
  +<!-- SECTION [735-2737] -->
  +<h2><a name="quelles_sont_les_limitations_pour_les_outils_geda" id="quelles_sont_les_limitations_pour_les_outils_geda">Quelles sont les limitations pour les outils gEDA?</a></h2>
  +<div class="level2">
  +
  +<p>
  +La chose la plus importante à garder en mémoire à propos des limitations de gEDA est ceci: GEDA est un projet de logiciel open-source. Il possède quelques limitations mais contrairement à celles des logiciels commerciaux, ses limitations ne sont pas artificielles, arbitraires ou pilotées par le marketing. Ceci étant, gEDA n&rsquo;est ni un nagware, ni un crippleware, ni un demoware, ni une « version étudiante limitée ». Toutes les limitations des outils  gEDA existent car les programmeurs n&rsquo;ont pas encore implementé ces fonctionnalités particulières. Comme le code est ouvert à tous pour la modification, tout le monde est le bienvenu s&rsquo;il veut implémenter de nouvelles fonctionnalités ou supprimer des limitations puis de soumettre leurs patches au projet. Si vous êtes un hacker et que vous êtes intéressés pour une contribution au projet gEDA, veuillez considérer effacer une des limitations listée ci-dessous! Vous vous ferez un tas d&rsquo;amis et gagnerez une notoriété internationale!
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Le support hiérarchique de bus: Le support pour les bus hiérarchiques n&rsquo;existe pas encore.</div>
  +</li>
  +<li class="level1"><div class="li"> Les attributs de nets et de broches dans gattrib: L&rsquo;attachement des attributs de routage pour les nets et les broches dans gattrib reste à faire. (Les attributs de net sont utiles pour les schémas à haute vitesse. Par exemple, il est souvent important que toutes les pistes d&rsquo;un bus aient la même longueur électrique. Malheureusement, il n&rsquo;est pas certain que PCB supprte actuellement ces attributs de routage.)</div>
  +</li>
  +<li class="level1"><div class="li"> La rétro-annotation depuis PCB vers gschem. Le support pour la permutation de broche et les modifications du fichier de conception de pcb avec les rétro-annotations induites vers gschem reste à faire.</div>
  +</li>
  +<li class="level1"><div class="li"> Le gestionnaire de projet « geda » est obsolète et nécessite une mise à jour.</div>
  +</li>
  +<li class="level1"><div class="li"> Le nombre de couches dans PCB: Actuellement, le nombre de couche de PCB est limité à 8 (ce qui est plus que adéquat pour les projets de petite et moyenne taille). Les développeurs de PCB travaillent sur l&rsquo;augmentation du nombre de couches vers un nombre indéfini mais ce n&rsquo;est pas encore fait. Contactez-les directement si vous êtes intéressés par ce projet.</div>
  +</li>
  +</ul>
  +
  +</div>
  +<!-- SECTION [2738-4996] -->
  +<h2><a name="quels_fichiers_de_configuration_locaux_sont_utilises_pour_un_projet" id="quels_fichiers_de_configuration_locaux_sont_utilises_pour_un_projet">Quels fichiers de configuration locaux sont utilisés pour un projet?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Une conception typique de PCB nécessite les fichiers de config suivants dans votre répertoire local:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> gafrc: il contient les infos de configuration pour les programmes gEDA/gaf (i.e. gschem, gattrib, gnetlist, etc.). Il doit contenir des pointeurs de votre répertoire de symbole local (si vous en avez).</div>
  +</li>
  +<li class="level1"><div class="li"> attribs: si vous utilisez « gnetlist -g bom2 » pour créer un projet BOM (« Bill Of Material »: liste des éléments) puis vous avez besoin de ce fichier de manière à spéficier quels attributs sont écrits dans la BOM.</div>
  +</li>
  +<li class="level1"><div class="li"> projectrc: lorsque vous faites un pcb, « gsch2pcb projectrc » est une manière pratique de spécifier les chemins aux répertoires locaux d&rsquo;empreintes, de même que les autres informations de configuration pour « gsch2pcb ». Notez que ce ficheir peut avoir tout nom que vous lui choisissez; j&rsquo;aime utiliser projectrc comme son nom est suggestif de sa fonction.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Des informations plus détaillées à propos de chaque fichier de configuration est fourni dans la <a href="http://geda.seul.org/docs/index.html"; class="urlextern" title="http://geda.seul.org/docs/index.html";  rel="nofollow">documentation</a> pour chaque élément.
  +</p>
  +
  +</div>
  +<!-- SECTION [4997-6166] -->
  +<h2><a name="quels_sont_les_noms_et_les_emplacements_des_fichiers_rc_utilises_avec_les_applications_geda_gaf" id="quels_sont_les_noms_et_les_emplacements_des_fichiers_rc_utilises_avec_les_applications_geda_gaf">Quels sont les noms et les emplacements des fichiers RC utilisés avec les applications gEDA/gaf?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Les diverses applications gEDA/gaf (gschem, gattrib, gnetlist, etc.) utilisent un jeu de fichiers RC pour initialiser différentes options configurables dans les outils eux-mêmes. Ces fichiers RC sont lus par chaque application lors de leur démarrage. Philosophiquement, il existe trois endroits où une application gEDA/gaf va chercher des fichiers RC:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Dans le répertoire d&rsquo;installation du système: <strong><code>${prefix}/share/gEDA/</code></strong>. Cet endroit contient les fichiers RC globaux du système et à tous les utilisateurs. Ces fichiers RC doivent être trouvés et chargés avec succès pour que les applications gEDA fonctionnent correctement. <strong><code>${prefix}</code></strong> est initialisé avec le chemin où gEDA/gaf est installé.</div>
  +</li>
  +<li class="level1"><div class="li"> Dans le répertoire utilisateur: <strong><code>$HOME/.gEDA/</code></strong>. Cet endroit garde les fichiers RC qui s&rsquo;appliquent à tous les projets d&rsquo;utilisateurs. <strong><code>.gEDA</code></strong> est un répertoire. Ces fichiers sont optionels. Ne faites pas que placer une copie du system-gschemrc (ou un autre) dans ce répertoire; ceci ne fonctionnera pas correctement. La bonne chose à faire est de dépasser les choses spécifiques que vous voulez changer.</div>
  +</li>
  +<li class="level1"><div class="li"> Dans le répertoire local du projet. Cet endroit contient les fichiers RC qui s&rsquo;appliquent au projet local (placé dans ce même répertoire). Ces fichiers RC fournissent des dépassements spécifiques, tels que des bibliothèques de composants ou de sources. Ce fichier est aussi optionel. Ne faites pas que placer une copie de system-gschemrc (ou un autre) dans ce répertoire; il ne fonctionnera pas correctement.</div>
  +</li>
  +</ul>
  +
  +<p>
  + Le système de fichier RC a évolué au fil du temps. Originellement, chaque application gEDA/gaf a utilisé ses propres fichiers RC (par exemple, gschem utilise gschemrc, gnetlist utilise gnetlistrc et ainsi de suite). Nénmoins, avec l&rsquo;augmentation du nombre des applications gEDA/gaf, il est devenu clair que les fichiers RC individuels contenaient un lot d&rsquo;informations redondantes et que les nouveaux utilisateurs étaient perturbés par les différents fichiers RC. C&rsquo;est la raison pour laquelle les différents fichiers RC ont été compactés en un seul fichier, appelé « gafrc ». Néanmoins, comme gschem a besoin de tous les types de personalisations spéciaux, nous avons décidé de garder le gschemrc système en plus de tous les fichiers gafrc. Aussi, de manière à préserver une compatibilité arrière, l&rsquo;ancien fichier RC système est encore maintenu dans le répertoire système. En conséquence, le fichier de configuration RC actuel ressemble à ceci:
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Dans le répertoire d&rsquo;installation du système:</div>
  +<ul>
  +<li class="level2"><div class="li"> <strong><code>system-gafrc</code></strong> â?? Il contient la plupart des initialisations globales de gaf.</div>
  +</li>
  +<li class="level2"><div class="li"> <strong><code>system-gattribrc</code></strong></div>
  +</li>
  +<li class="level2"><div class="li"> <strong><code>system-gnetlistrc</code></strong></div>
  +</li>
  +<li class="level2"><div class="li"> <strong><code>system-gschemrc</code></strong> â?? Il contient de nombreuses configurations spécifiques à gschem</div>
  +</li>
  +<li class="level2"><div class="li"> <strong><code>system-gschlasrc</code></strong></div>
  +</li>
  +<li class="level2"><div class="li"> <strong><code>system-gsymcheckrc</code></strong></div>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"> Dans le répertoire <strong><code>${HOME}</code></strong> de l&rsquo;utilisateur:</div>
  +<ul>
  +<li class="level2"><div class="li"> <strong><code>.gEDA/gafrc</code></strong></div>
  +</li>
  +</ul>
  +</li>
  +<li class="level1"><div class="li"> Dans le répertoire local du projet:</div>
  +<ul>
  +<li class="level2"><div class="li"> <strong><code>gafrc</code></strong> â?? Il devrait contenir les dépassements locaux, tels que les pointeurs vers les symboles localement définis.</div>
  +</li>
  +</ul>
  +</li>
  +</ul>
  +
  +<p>
  + Les définitions de couleurs gschem-darkbg ou gschem-lightbg sont aussi chargées par le system-gschemrc.
  +</p>
  +
  +<p>
  +Finalement, notez que les applications gEDA/gaf recherchent jusqu&rsquo;à six fichiers de configuration au démarrage:
  +</p>
  +<ol>
  +<li class="level1"><div class="li"> <strong><code>system-gafrc</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>system-gschemrc</code></strong> (ou un autre)</div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>${HOME}/.geda/gafrc</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>${HOME}/.geda/gschemrc</code></strong> (ou un autre)</div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>./gafrc</code></strong></div>
  +</li>
  +<li class="level1"><div class="li"> <strong><code>./gschemrc</code></strong> (ou un autre)</div>
  +</li>
  +</ol>
  +
  +<p>
  + Si vous obtenez un avertissement comme quoi votre application ne peut pas trouver l&rsquo;un ou l&rsquo;autre de ces fichiers, ne vous inquiétez pas. La plupart d&rsquo;entre eux sont optionels. Les seuls fichiers nécessaires sont les fichiers RC systèmes.
  +</p>
  +
  +</div>
  +<!-- SECTION [6167-10122] -->
  +<h2><a name="qu_en_est-il_du_gestionnaire_de_projet" id="qu_en_est-il_du_gestionnaire_de_projet">Qu'en est-il du gestionnaire de projet?</a></h2>
  +<div class="level2">
  +
  +<p>
  +Les composants individuels dans la suite de conception de gEDA ne forment pas un projet de bout en bout. A la place, ils traitent leurs propres fichiers (i.e. « gschem » â?? .sch, « pcb » â?? .pcb). Néanmoins, il existe un gestionnaire de projet appelé « geda », que vous pouvez invoquer depuis le ligne de commande. Son but est de vous faciliter la gestion de votre idée comme un tout depuis le concept, en passant par la saisie de schéma, l&rsquo;attachement d&rsquo;attributs, le placement, la génération de BOM, ainsi de suite.
  +</p>
  +
  +<p>
  +Malheureusement, le développement de « geda » n&rsquo;a pas suivi le reste de gEDA/gaf. En particulier, « geda » n&rsquo;utilise pas les derniers outils ou méthodes pour réaliser les tâches individuelles. C&rsquo;est la raison pour laquelle nous recommandons aux utilisateurs d&rsquo;utiliser les outils individuels (i.e. gschem, gattrib, gnetlist, gsch2pcb, etc) depuis la ligne de commande. C&rsquo;est pourquoi, si vous êtes un hacker à la recherche d&rsquo;un petit projet à adopter, améliorer « geda » serait une belle introduction à la Suite gEDA et vous vous feriez un tas d&rsquo;amis en le faisant! 
  +</p>
  +
  +</div>
  +<!-- SECTION [10123-] --></div>
   </body>
   </html>
  
  
  
  1.4       +1 -1      eda/geda/gaf/docs/wiki/Makefile.am
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: Makefile.am
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/Makefile.am,v
  retrieving revision 1.3
  retrieving revision 1.4
  diff -u -b -r1.3 -r1.4
  --- Makefile.am	7 Sep 2006 02:00:09 -0000	1.3
  +++ Makefile.am	21 Oct 2006 03:57:46 -0000	1.4
  @@ -5,7 +5,7 @@
   
   SUBDIRS = _detail _media lib 
   
  -docs_DATA = 001geda_documentation.html 001geda_faq-attribs.html 001geda_faq-gnetlist.html 001geda_faq-gsch2pcb.html 001geda_faq-gschem.html 001geda_faq-simulation.html 001geda_faq.html 001geda_glossary.html 001geda_gschem_mp.html 001geda_gschem_ug.html 001geda_hse_howto.html 001geda_installation.html 001geda_kig_howto.html 001geda_pcb-quick_reference.html 001geda_pcb_tips.html 001geda_scg.html 001geda_tasks.html 001geda_todos.html 001geda_usage.html docs_20060124_gschem_ug_app_a.html docs_20060124_gschem_ug_app_b.html docs_20060124_gschem_ug_app_c.html docs_20060124_gschem_ug_components_symbols_objects_attributes.html docs_20060124_gschem_ug_electrical_connectivity.html docs_20060124_gschem_ug_how_to_ask_questions.html docs_20060124_gschem_ug_installing_gschem.html docs_20060124_gschem_ug_resources.html docs_20060124_gschem_ug_the_main_window.html docs_20060124_gschem_ug_the_status_window.html geda_bom_readme.html geda_covered_mp.html geda_covered_rv.html geda_csygas.html geda_cygwin.html geda_documentation.html geda_eagle_pcb_netlister_readme.html geda_example_hsm.html geda_example_usbjtag.html geda_faq-attribs.html geda_faq-gnetlist.html geda_faq-gsch2pcb.html geda_faq-gschem.html geda_faq-simulation.html geda_faq.html geda_fbabgapp.html geda_fc1.html geda_fc2.html geda_fc3.html geda_fc4.html geda_file_format_spec.html geda_footprint_creation.html geda_gattrib_readme.html geda_gerbv_mp.html geda_gerbv_pnp_readme.html geda_gfdl.html geda_glossary.html geda_gnetlist_mp.html geda_gnetlist_ug.html geda_grcsan.html geda_grenum_mp.html geda_gsch2pcb_readme.html geda_gschem2pcb_readme.html geda_gschem_mp.html geda_gschem_ug.html geda_gsymcheck_mp.html geda_gtkwave_lxt2miner_mp.html geda_gtkwave_lxt2vcd_mp.html geda_gtkwave_mp.html geda_gtkwave_mvl2lxt_mp.html geda_gtkwave_mvl2vcd_mp.html geda_gtkwave_tex2vcd_mp.html geda_gtkwave_tla2vcd_mp.html geda_gtkwave_vcd2lxt2_mp.html geda_gtkwave_vcd2lxt_mp.html geda_gtkwave_vcd2vzt_mp.html geda_gtkwave_vzt2vcd_mp.html geda_gtkwave_vztminer_mp.html geda_hse_howto.html geda_icarus_anc.html geda_icarus_extensions.html geda_icarus_glossary.html geda_icarus_ieee1364.html geda_icarus_mp.html geda_icarus_opcodes.html geda_icarus_quick_start.html geda_icarus_readme.html geda_icarus_vpi_mp.html geda_icarus_vpi_within_vvp.html geda_icarus_vvp_runtime.html geda_icarus_vvp_simulation.html geda_icarus_xilinx_hints.html geda_icarus_xnf.html geda_igarus_fpga_lcg.html geda_installation.html geda_installed_plugins.html geda_kig_howto.html geda_master_attributes_list.html geda_mcalc_readme.html geda_na_howto.html geda_ngnutmeg_mp.html geda_ngsconvert_mp.html geda_ngspice_mp.html geda_olib_readme.html geda_pcb-quick_reference.html geda_pcb.html geda_pcb_mp.html geda_pcb_tips.html geda_pcb_ug.html geda_scg.html geda_sdb_howto.html geda_sn_readme.html geda_ssan.html geda_style_guide.html geda_suse_10.html geda_suse_9.html geda_syntax_features.html geda_systemc_netlister_readme.html geda_tasks.html geda_todos.html geda_usage.html geda_tragesym_readme.html geda_vams_netlister_readme.html geda_verilog_netlister_readme.html geda_vhdl_netlister_readme.html geda_wcalc_mp.html geda_wcalc_readme.html geda_wcalc_stdio_mp.html index.html start_es.html start_fr.html 001geda_suse_10.html geda_debian.html 
  +docs_DATA = 001geda_debian.html 001geda_documentation.html 001geda_faq-attribs.html 001geda_faq-gnetlist.html 001geda_faq-gsch2pcb.html 001geda_faq-gschem.html 001geda_faq-simulation.html 001geda_faq.html 001geda_glossary.html 001geda_gschem_mp.html 001geda_gschem_ug.html 001geda_hse_howto.html 001geda_installation.html 001geda_kig_howto.html 001geda_pcb-quick_reference.html 001geda_pcb_tips.html 001geda_scg.html 001geda_suse_10.html 001geda_tasks.html 001geda_todos.html 001geda_usage.html docs_20060124_gschem_ug_app_a.html docs_20060124_gschem_ug_app_b.html docs_20060124_gschem_ug_app_c.html docs_20060124_gschem_ug_components_symbols_objects_attributes.html docs_20060124_gschem_ug_electrical_connectivity.html docs_20060124_gschem_ug_how_to_ask_questions.html docs_20060124_gschem_ug_installing_gschem.html docs_20060124_gschem_ug_resources.html docs_20060124_gschem_ug_the_main_window.html docs_20060124_gschem_ug_the_status_window.html geda_bom_readme.html geda_covered_mp.html geda_covered_rv.html geda_csygas.html geda_cygwin.html geda_debian.html geda_documentation.html geda_eagle_pcb_netlister_readme.html geda_example_hsm.html geda_example_usbjtag.html geda_faq-attribs.html geda_faq-gnetlist.html geda_faq-gsch2pcb.html geda_faq-gschem.html geda_faq-simulation.html geda_faq.html geda_fbabgapp.html geda_fc1.html geda_fc2.html geda_fc3.html geda_fc4.html geda_file_format_spec.html geda_footprint_creation.html geda_gattrib_readme.html geda_gerbv_mp.html geda_gerbv_pnp_readme.html geda_gfdl.html geda_glossary.html geda_gnetlist_mp.html geda_gnetlist_ug.html geda_grcsan.html geda_grenum_mp.html geda_gsch2pcb_readme.html geda_gschem2pcb_readme.html geda_gschem_mp.html geda_gschem_ug.html geda_gsymcheck_mp.html geda_gtkwave_lxt2miner_mp.html geda_gtkwave_lxt2vcd_mp.html geda_gtkwave_mp.html geda_gtkwave_mvl2lxt_mp.html geda_gtkwave_mvl2vcd_mp.html geda_gtkwave_tex2vcd_mp.html geda_gtkwave_tla2vcd_mp.html geda_gtkwave_vcd2lxt2_mp.html geda_gtkwave_vcd2lxt_mp.html geda_gtkwave_vcd2vzt_mp.html geda_gtkwave_vzt2vcd_mp.html geda_gtkwave_vztminer_mp.html geda_hse_howto.html geda_icarus_anc.html geda_icarus_extensions.html geda_icarus_glossary.html geda_icarus_ieee1364.html geda_icarus_mp.html geda_icarus_opcodes.html geda_icarus_quick_start.html geda_icarus_readme.html geda_icarus_vpi_mp.html geda_icarus_vpi_within_vvp.html geda_icarus_vvp_runtime.html geda_icarus_vvp_simulation.html geda_icarus_xilinx_hints.html geda_icarus_xnf.html geda_igarus_fpga_lcg.html geda_installation.html geda_installed_plugins.html geda_kig_howto.html geda_master_attributes_list.html geda_mcalc_readme.html geda_na_howto.html geda_ngnutmeg_mp.html geda_ngsconvert_mp.html geda_ngspice_mp.html geda_olib_readme.html geda_pcb-quick_reference.html geda_pcb.html geda_pcb_mp.html geda_pcb_tips.html geda_pcb_ug.html geda_scg.html geda_sdb_howto.html geda_sn_readme.html geda_ssan.html geda_style_guide.html geda_suse_10.html geda_suse_9.html geda_syntax_features.html geda_systemc_netlister_readme.html geda_tasks.html geda_todos.html geda_tragesym_readme.html geda_tragesym_tutorial.html geda_ubuntu.html geda_usage.html geda_vams_netlister_readme.html geda_verilog_netlister_readme.html geda_vhdl_netlister_readme.html geda_wcalc_mp.html geda_wcalc_readme.html geda_wcalc_stdio_mp.html index.html start_es.html start_fr.html 
   
   EXTRA_DIST = $(docs_DATA)
   
  
  
  
  1.2       +10 -12    eda/geda/gaf/docs/wiki/geda_cygwin.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_cygwin.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_cygwin.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- geda_cygwin.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ geda_cygwin.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -12,8 +12,8 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:cygwin?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:cygwin?do=export_raw"; />
  -  <meta name="date" content="2006-06-27T09:50:25-0400" />
  -  <meta name="robots" content="index,follow" />
  +  <meta name="date" content="2006-10-20T21:08:19-0400" />
  +  <meta name="robots" content="noindex,nofollow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
  @@ -75,29 +75,25 @@
   </p>
   
   <p>
  -From the gEDA/gaf group, download <strong>only</strong> the packages which have a date as its version (like 20060123). The necessary dependencies where already installed in step 1.
  +From the gEDA/gaf group, download <strong>only</strong> the packages which have a date as its version. The necessary dependencies where already installed in step 1.
   </p>
   
   <p>
  -4. Download  <a href="http://sourceforge.net/tracker/download.php?group_id=161080&atid=818428&file_id=182795&aid=1511658"; class="urlextern" title="http://sourceforge.net/tracker/download.php?group_id=161080&amp;atid=818428&amp;file_id=182795&amp;aid=1511658";  rel="nofollow">cygwin.patch</a> in the same folder as the above.
  -</p>
  -
  -<p>
  -5. Go to the download directory and type:
  +4. Go to the download directory and type:
   </p>
   <pre class="code">$ make open
  -$ patch -p1 &lt; cygwin.patch
   $ make install
   ( ...patience... )</pre>
   
   <p>
  - 6. Now, to properly view the documentation from the help menu of gschem:
  + 5. Now, to properly view the documentation from the help menu of gschem:
   </p>
   
   <p>
   Locate the executables of your browser and <acronym title="Portable Document Format">PDF</acronym> reader and create links from /usr/bin. Examples:
   </p>
   <pre class="code">$ ln -s &quot;c:\Program Files\Internet Explorer\iexplore.exe&quot; /usr/bin/iexplore
  +$ ln -s &quot;c:\Program Files\Firefox\firefox.exe&quot; /usr/bin/firefox
   $ ln -s &quot;c:\Program Files\Adobe\Acrobat 7.0\Reader\AcroRd32.exe&quot; /usr/bin/acroread</pre>
   
   <p>
  @@ -105,13 +101,15 @@
   </p>
   <pre class="code">$ iexplore
   ( Microsoft Internet Explorer appears )
  +$ firefox
  +( Firefox appears - if installed )
   $ acroread
   ( Adobe Acrobat Reader appears )
   $ gschemdoc -m
  -( gEDA/gaf documentation appears )</pre>
  +( gEDA documentation appears )</pre>
   
   <p>
  - 7. Finally, before running gschem:
  + 6. Finally, start the X server:
   </p>
   <pre class="code">$ startx</pre>
   
  
  
  
  1.3       +20 -24    eda/geda/gaf/docs/wiki/geda_documentation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_documentation.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_documentation.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_documentation.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_documentation.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -12,8 +12,8 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:documentation?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:documentation?do=export_raw"; />
  -  <meta name="date" content="2006-09-05T22:09:14-0400" />
  -  <meta name="robots" content="noindex,nofollow" />
  +  <meta name="date" content="2006-10-12T12:23:28-0400" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
  @@ -143,7 +143,7 @@
   </li>
   <li class="level1"><div class="li"> <a href="geda_tragesym_readme.html" class="wikilink1" title="geda:tragesym_readme">tragesym (symbol generator) README</a></div>
   </li>
  -<li class="level1"><div class="li"> <a href="http://www.geda.seul.org/docs/current/tutorials/tragesym/tragesym.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/tutorials/tragesym/tragesym.html";  rel="nofollow">tragesym Tutorial</a></div>
  +<li class="level1"><div class="li"> <a href="geda_tragesym_tutorial.html" class="wikilink1" title="geda:tragesym_tutorial">tragesym Tutorial</a></div>
   </li>
   <li class="level1"><div class="li"> <a href="geda_olib_readme.html" class="wikilink1" title="geda:olib_readme">olib (OrCAD (TM) converter) README</a></div>
   </li>
  @@ -154,7 +154,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [1517-2025] -->
  +<!-- SECTION [1517-1977] -->
   <h2><a name="examples" id="examples">Examples</a></h2>
   <div class="level2">
   <ul>
  @@ -165,7 +165,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [2026-2175] -->
  +<!-- SECTION [1978-2127] -->
   <h2><a name="attribute_file_format_details" id="attribute_file_format_details">Attribute/File Format Details</a></h2>
   <div class="level2">
   <ul>
  @@ -176,7 +176,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [2176-2341] -->
  +<!-- SECTION [2128-2293] -->
   <h1><a name="spice" id="spice">SPICE</a></h1>
   <div class="level1">
   <ul>
  @@ -201,7 +201,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [2342-3091] -->
  +<!-- SECTION [2294-3043] -->
   <h1><a name="ngspice" id="ngspice">ngspice</a></h1>
   <div class="level1">
   <ul>
  @@ -217,7 +217,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [3092-3514] -->
  +<!-- SECTION [3044-3466] -->
   <h1><a name="gnucap" id="gnucap">gnucap</a></h1>
   <div class="level1">
   <ul>
  @@ -228,7 +228,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [3515-3775] -->
  +<!-- SECTION [3467-3727] -->
   <h1><a name="gspiceui" id="gspiceui">gSpiceUI</a></h1>
   <div class="level1">
   <ul>
  @@ -237,24 +237,20 @@
   </ul>
   
   </div>
  -<!-- SECTION [3776-3883] -->
  +<!-- SECTION [3728-3835] -->
   <h1><a name="pcb" id="pcb">PCB</a></h1>
   <div class="level1">
   <ul>
  -<li class="level1"><div class="li"> <a href="geda_pcb_ug.html" class="wikilink2" title="geda:pcb_ug">Pcb-1.99q</a> &ndash; gEDA Suite version 20060123</div>
  +<li class="level1"><div class="li"> The official <a href="http://pcb.sourceforge.net/manual.html"; class="urlextern" title="http://pcb.sourceforge.net/manual.html";  rel="nofollow">manual of pcb</a></div>
   </li>
  -<li class="level1"><div class="li"> <a href="geda_footprint_creation.html" class="wikilink2" title="geda:footprint_creation">footprint_creation</a> &ndash; Stuart Brorson&rsquo;s document, is this the latest?</div>
  +<li class="level1"><div class="li"> A very detailled <a href="http://www.brorson.com/gEDA/land_patterns_20050129.pdf"; class="urlextern" title="http://www.brorson.com/gEDA/land_patterns_20050129.pdf";  rel="nofollow">manual on footprint creation</a> by Stephen Meier and Stuart Brorson. It also covers most of the syntax of the *.pcb format. The document refers to the 2005 version of pcb.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="geda_pcb_mp.html" class="wikilink1" title="geda:pcb_mp">PCB man-page</a></div>
  -</li>
  -<li class="level1"><div class="li"></div>
  -</li>
  -<li class="level1"><div class="li"> <a href="http://pcb.sourceforge.net/manual.html"; class="urlextern" title="http://pcb.sourceforge.net/manual.html";  rel="nofollow">Recent development shapshot manuals and the current CVS version manual</a></div>
  +<li class="level1"><div class="li"> The <a href="geda_pcb_mp.html" class="wikilink1" title="geda:pcb_mp">man-page of pcb</a></div>
   </li>
   </ul>
   
   </div>
  -<!-- SECTION [3884-4200] -->
  +<!-- SECTION [3836-4217] -->
   <h1><a name="gerbv" id="gerbv">gerbv</a></h1>
   <div class="level1">
   <ul>
  @@ -265,7 +261,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [4201-4346] -->
  +<!-- SECTION [4218-4363] -->
   <h1><a name="icarus_verilog" id="icarus_verilog">Icarus Verilog</a></h1>
   <div class="level1">
   <ul>
  @@ -304,7 +300,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [4347-5341] -->
  +<!-- SECTION [4364-5358] -->
   <h1><a name="gtkwave" id="gtkwave">GTKWave</a></h1>
   <div class="level1">
   <ul>
  @@ -338,7 +334,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [5342-6373] -->
  +<!-- SECTION [5359-6390] -->
   <h1><a name="wcalc" id="wcalc">Wcalc</a></h1>
   <div class="level1">
   <ul>
  @@ -351,7 +347,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [6374-6520] -->
  +<!-- SECTION [6391-6537] -->
   <h1><a name="mcalc" id="mcalc">mcalc</a></h1>
   <div class="level1">
   <ul>
  @@ -362,7 +358,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [6521-6700] -->
  +<!-- SECTION [6538-6717] -->
   <h1><a name="covered" id="covered">covered</a></h1>
   <div class="level1">
   <ul>
  @@ -375,6 +371,6 @@
   </ul>
   
   </div>
  -<!-- SECTION [6701-] --></div>
  +<!-- SECTION [6718-] --></div>
   </body>
   </html>
  
  
  
  1.3       +8 -4      eda/geda/gaf/docs/wiki/geda_faq-gsch2pcb.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_faq-gsch2pcb.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_faq-gsch2pcb.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_faq-gsch2pcb.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_faq-gsch2pcb.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq-gsch2pcb?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq-gsch2pcb?do=export_raw"; />
  -  <meta name="date" content="2006-08-21T21:10:54-0400" />
  +  <meta name="date" content="2006-10-07T20:18:46-0400" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -46,8 +46,12 @@
    <span class="hilited">This page will grow later to contain answers to FAQs posted on the geda-user list.</span>
   </p>
   
  +<p>
  +There is a wrapper script for gsch2pcb called sch2pcb.  Read about it in the <a href="geda_pcb_tips.html" class="wikilink1" title="geda:pcb_tips">pcb_tips</a> section of this wiki.
  +</p>
  +
   </div>
  -<!-- SECTION [25-327] -->
  +<!-- SECTION [25-440] -->
   <h2><a name="where_can_i_download_gsch2pcb" id="where_can_i_download_gsch2pcb">Where can I download gsch2pcb?</a></h2>
   <div class="level2">
   
  @@ -68,7 +72,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [328-783] -->
  +<!-- SECTION [441-896] -->
   <h2><a name="gsch2pcb_can_t_find_some_of_my_footprints_or_errors_out._what_can_i_do_to_diagnose_my_problem" id="gsch2pcb_can_t_find_some_of_my_footprints_or_errors_out._what_can_i_do_to_diagnose_my_problem">gsch2pcb can't find some of my footprints, or errors out. What can I do to diagnose my problem?</a></h2>
   <div class="level2">
   
  @@ -77,6 +81,6 @@
   </p>
   
   </div>
  -<!-- SECTION [784-] --></div>
  +<!-- SECTION [897-] --></div>
   </body>
   </html>
  
  
  
  1.2       +297 -264  eda/geda/gaf/docs/wiki/geda_faq-gschem.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_faq-gschem.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_faq-gschem.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- geda_faq-gschem.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ geda_faq-gschem.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -12,8 +12,8 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq-gschem?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq-gschem?do=export_raw"; />
  -  <meta name="date" content="2006-08-12T12:22:50-0400" />
  -  <meta name="robots" content="index,follow" />
  +  <meta name="date" content="2006-10-19T11:52:57-0400" />
  +  <meta name="robots" content="noindex,nofollow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
  @@ -24,148 +24,56 @@
   <div id="toc__inside">
   
   <ul class="toc">
  -<li class="level1"><div class="li"><span class="li"><a href="#gschem_installation_run-time_problems" class="toc">Gschem installation/run-time problems</a></span></div>
  -<ul class="toc">
  -<li class="level2"><div class="li"><span class="li"><a href="#gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around" class="toc">Gschem segfaults when I delete components on FC5 (and other Linux distributions)! Is there a work-around?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#after_installation_gschem_does_not_work_what_could_be_wrong" class="toc">After installation gschem does not work!? What could be wrong?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#add_components_offers_no_symbols_what_can_i_do_about_it" class="toc">&quot;Add Components&quot; offers no symbols! What can I do about it?</a></span></div></li>
  -</ul>
  -</li>
   <li class="level1"><div class="li"><span class="li"><a href="#gschem_usage" class="toc">Gschem usage</a></span></div>
   <ul class="toc">
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_move_a_component" class="toc">How do I move a component?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_change_the_size_of_the_text_on_a_symbol" class="toc">How do I change the size of the text on a symbol?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection" class="toc">Is there an explicit &quot;no connect&quot; symbol that I can/should place in the schematic to prevent gnetlist from thinking I've forgotten a connection?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_print_schematics_from_the_command_line" class="toc">How do I print schematics from the command line?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_get_color_postscript_png_output" class="toc">How can I get color postscript/PNG output?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_get_black_and_white_postscript_png_output" class="toc">How can I get black and white postscript/PNG output?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_insert_schematics_into_my_latex_document" class="toc">How can I insert schematics into my LaTex document?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_split_postscript_output_over_multiple_pages" class="toc">How can I split postscript output over multiple pages?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_unlock_a_locked_component" class="toc">How do I unlock a locked component</a></span></div></li>
  -</ul>
  -</li>
  -<li class="level1"><div class="li"><span class="li"><a href="#gschem_configuration_customization" class="toc">Gschem configuration/customization</a></span></div>
  -<ul class="toc">
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_change_the_default_size_of_floating_text" class="toc">How can I change the default size of floating text?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_have_a_different_background_color_other_than_black" class="toc">How can I have a different background color other than black?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_can_i_get_refdes_automatically_numbered_when_i_draw_a_schematic" class="toc">How can I get refdes automatically numbered when I draw a schematic?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#what_is_a_bus_and_how_do_i_use_it" class="toc">What is a Bus and how do I use it?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#what_are_the_key_bindings_in_gschem" class="toc">What are the Key Bindings in gschem?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#what_are_the_mouse_bindings_in_gschem" class="toc">What are the mouse bindings in gschem?</a></span></div></li>
   </ul>
   </li>
  -<li class="level1"><div class="li"><span class="li"><a href="#information_on_gschem_symbols" class="toc">Information on gschem symbols</a></span></div>
  +<li class="level1"><div class="li"><span class="li"><a href="#gschem_symbols" class="toc">gschem symbols</a></span></div>
   <ul class="toc">
   <li class="level2"><div class="li"><span class="li"><a href="#what_s_this_business_about_heavy_vs._light_symbols" class="toc">What's this business about heavy vs. light symbols?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid" class="toc">I am using a symbol out of the library.  How come it's not aligned to the grid?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection" class="toc">Is there an explicit &quot;no connect&quot; symbol that I can/should place in the schematic to prevent gnetlist from thinking I've forgotten a connection?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic" class="toc">How do I promote an invisible symbol attribute into the schematic?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit" class="toc">What should I do about power pins on my symbols: Make them visible (explicit) or invisible (implicit)?</a></span></div></li>
  -<li class="level2"><div class="li"><span class="li"><a href="#is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it" class="toc">Is there a specification or manual for creating gschem symbols? Where is it?</a></span></div></li></ul>
  -</li></ul>
  -</div>
  -</div>
  -
  -<h1><a name="gschem_installation_run-time_problems" id="gschem_installation_run-time_problems">Gschem installation/run-time problems</a></h1>
  -<div class="level1">
  -
  -</div>
  -<!-- SECTION [1-53] -->
  -<h2><a name="gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around" id="gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around">Gschem segfaults when I delete components on FC5 (and other Linux distributions)! Is there a work-around?</a></h2>
  -<div class="level2">
  -
  -<p>
  -This bug seems to have appeared for users of Fedora Core 5 (and other linux distributions that use glib 2.10.x). The bug has been fixed by the developers and the bug fix will appear in the next version of gEDA/gaf. 
  -</p>
  -
  -<p>
  -In the mean time, you can work around this bug by setting the environment variable G_SLICE to â??always-mallocâ??.  Specifically, before you run gschem, do this:
  -</p>
  -
  -<p>
  -bash: 
  -</p>
  -<pre class="code">export G_SLICE=always-malloc</pre>
  -
  -<p>
  -csh: 
  -</p>
  -<pre class="code">setenv G_SLICE always-malloc</pre>
  -
  -</div>
  -<!-- SECTION [54-648] -->
  -<h2><a name="after_installation_gschem_does_not_work_what_could_be_wrong" id="after_installation_gschem_does_not_work_what_could_be_wrong">After installation gschem does not work!? What could be wrong?</a></h2>
  -<div class="level2">
  -
  -<p>
  -If you run gschem and you get a window without a menu bar, no colors, and the program terminates when you press a key with the following message: 
  -</p>
  -<pre class="code">ERROR: Unbound variable: current-keymap</pre>
  -
  -<p>
  -Or you get errors like this: 
  -</p>
  -<pre class="code">Gtk-CRITICAL : file gtkpixmap.c: line 97 (gtk_pixmap_new): assertion `val != NULLâ?? failed.
  -Gtk-CRITICAL : file gtkpixmap.c: line 97 (gtk_pixmap_new): assertion `val != NULLâ?? failed.
  -Tried to get an invalid color: 0
  -Tried to get an invalid color: 7
  -Tried to get an invalid color: 0
  -Tried to get an invalid color: 7</pre>
  -
  -<p>
  -then gschem is not finding an rc file. There are two required rc files. The first is <strong><code>system-gschemrc</code></strong> and the second is <strong><code>system-commonrc</code></strong>.
  -</p>
  -<ul>
  -<li class="level1"><div class="li"> The system-gschemrc rc file should be installed when you install gschem and typically resides in <strong><code>${prefix}/share/gEDA/system-gschemrc</code></strong>. <strong><code>${prefix}</code></strong> is where you installed gschem (usually <strong><code>/usr</code></strong> or <strong><code>/usr/local</code></strong> or <strong><code>$HOME/geda</code></strong>). This file can also be installed in /etc/gEDA (the .debs packages do this).</div>
  -</li>
  -<li class="level1"><div class="li"> The system-commonrc rc file should be installed when you install the symbol library for gEDA/gaf. It resides in <strong><code>${prefix}/share/gEDA/system-commonrc</code></strong>. This file can also be installed in <strong><code>/etc/gEDA</code></strong> (the .debs packages do this). This file is not loaded directly by gschem. It is loaded by a â??(load ...)â?? in the system-gschemrc rc file.</div>
  -</li>
  +<li class="level2"><div class="li"><span class="li"><a href="#is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it" class="toc">Is there a specification or manual for creating gschem symbols? Where is it?</a></span></div></li>
   </ul>
  -
  -<p>
  - Make sure these file are installed. The gschem.log file (which is created everytime you run gschem) holds valuable debugging information which should help in determining what is wrong. Check this file for where gschem is looking for the rc files.
  -</p>
  -
  -<p>
  -Also, some older releases of gEDA/gaf had some bugs when the rc files were installed in other locations (other that <strong><code>${prefix}/share/gEDA</code></strong>), so please upgrade to a more current release.
  -</p>
  -
  -</div>
  -<!-- SECTION [649-2572] -->
  -<h2><a name="add_components_offers_no_symbols_what_can_i_do_about_it" id="add_components_offers_no_symbols_what_can_i_do_about_it">&quot;Add Components&quot; offers no symbols! What can I do about it?</a></h2>
  -<div class="level2">
  -
  -<p>
  -Make sure that at least one of your config files contains a valid path to a symbol library. At startup, gschem checks for the following config files (on a Debian system):
  -</p>
  -<ol>
  -<li class="level1"><div class="li"> system gafrc file: <code>/etc/gEDA/system-gafrc</code></div>
  -</li>
  -<li class="level1"><div class="li"> user gafrc file: <code>~/.gEDA/gafrc</code></div>
  -</li>
  -<li class="level1"><div class="li"> local gafrc file: <code>$PWD/gafrc</code></div>
  -</li>
  -<li class="level1"><div class="li"> system gschemrc file: <code>/etc/gEDA/system-gschemrc</code></div>
   </li>
  -<li class="level1"><div class="li"> user gschemrc file: <code>~/.gEDA/gschemrc</code></div>
  +<li class="level1"><div class="li"><span class="li"><a href="#gschem_configuration_customization" class="toc">Gschem configuration/customization</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_change_the_default_size_of_floating_text" class="toc">How can I change the default size of floating text?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_have_a_different_background_color_other_than_black" class="toc">How can I have a different background color other than black?</a></span></div></li>
  +</ul>
   </li>
  -<li class="level1"><div class="li"> local gschemrc file: <code>$PWD/gschemrc]</code></div>
  +<li class="level1"><div class="li"><span class="li"><a href="#printing_output" class="toc">Printing/Output</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_print_schematics_from_the_command_line" class="toc">How do I print schematics from the command line?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_get_color_postscript_png_output" class="toc">How can I get color postscript/PNG output?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_get_black_and_white_postscript_png_output" class="toc">How can I get black and white postscript/PNG output?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_insert_schematics_into_my_latex_document" class="toc">How can I insert schematics into my LaTex document?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_split_postscript_output_over_multiple_pages" class="toc">How can I split postscript output over multiple pages?</a></span></div></li>
  +</ul>
   </li>
  -</ol>
  -
  -<p>
  -All of these config files may or may not append paths to the library search list. If a config file conatins the command 
  -</p>
  -<pre class="code">(reset-component-library)</pre>
  -
  -<p>
  - the library search path will be emptied. Order is obviously important, as this command will erase any previously appended paths.  
  -</p>
  -
  +<li class="level1"><div class="li"><span class="li"><a href="#gschem_installation_run-time_problems" class="toc">Gschem installation/run-time problems</a></span></div>
  +<ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around" class="toc">Gschem segfaults when I delete components on FC5 (and other Linux distributions)! Is there a work-around?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#after_installation_gschem_does_not_work_what_could_be_wrong" class="toc">After installation gschem does not work!? What could be wrong?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#add_components_offers_no_symbols_what_can_i_do_about_it" class="toc">&quot;Add Components&quot; offers no symbols! What can I do about it?</a></span></div></li></ul>
  +</li></ul>
   </div>
  -<!-- SECTION [2573-3377] -->
  +</div>
  +
   <h1><a name="gschem_usage" id="gschem_usage">Gschem usage</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [3378-3405] -->
  +<!-- SECTION [1-28] -->
   <h2><a name="how_do_i_move_a_component" id="how_do_i_move_a_component">How do I move a component?</a></h2>
   <div class="level2">
   
  @@ -212,7 +120,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [3406-4580] -->
  +<!-- SECTION [29-1203] -->
   <h2><a name="how_do_i_change_the_size_of_the_text_on_a_symbol" id="how_do_i_change_the_size_of_the_text_on_a_symbol">How do I change the size of the text on a symbol?</a></h2>
   <div class="level2">
   <ol>
  @@ -241,137 +149,211 @@
   </p>
   
   </div>
  -<!-- SECTION [4581-5371] -->
  -<h2><a name="is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection" id="is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection">Is there an explicit &quot;no connect&quot; symbol that I can/should place in the schematic to prevent gnetlist from thinking I've forgotten a connection?</a></h2>
  +<!-- SECTION [1204-1994] -->
  +<h2><a name="how_do_i_unlock_a_locked_component" id="how_do_i_unlock_a_locked_component">How do I unlock a locked component</a></h2>
   <div class="level2">
   
   <p>
  -Answer: misc â?? nc-left, nc-right, nc-top, nc-bottom.
  +When a component is locked it is unselectable using the middle mouse button however it is selectable using a window select. To window select a component click and hold the left mouse button and drag the mouse to create a rectangular region containing the component to be unlocked. Execute the command <strong><em>Edit</em></strong> <strong>â??</strong> <strong><em>unLock</em></strong> to unlock the component.
   </p>
   
  +</div>
  +<!-- SECTION [1995-2404] -->
  +<h2><a name="how_can_i_get_refdes_automatically_numbered_when_i_draw_a_schematic" id="how_can_i_get_refdes_automatically_numbered_when_i_draw_a_schematic">How can I get refdes automatically numbered when I draw a schematic?</a></h2>
  +<div class="level2">
  +
   <p>
  -Caution: occassionally this may create a net called â??no_connectâ?? (or â??NC??&rdquo;) which may lead to no-connect pins being connected together in gnetlist â?? which you probably _donâ??t_ want to happen.
  +Edit the system-gschemrc file or place the following into a gschemrc file (either <strong><code>~/.gEDA/gschemrc</code></strong> or a <strong><code>gschemrc</code></strong> file in the local directory where you invoke gschem): 
  +</p>
  +<pre class="code">(load &quot;$YOUR_INSTALL_PATH/share/gEDA/scheme/auto-uref.scm&quot;)  ; load the autonumbering script
  +(add-hook! add-component-hook auto-uref)                     ; autonumber when adding a component
  +(add-hook! copy-component-hook auto-uref)                    ; autonumber when copying a component</pre>
  +
  +<p>
  +Please substitute $YOUR_INSTALL_PATH by the path where geda is installed.
   </p>
   
   </div>
  -<!-- SECTION [5372-5788] -->
  -<h2><a name="how_do_i_print_schematics_from_the_command_line" id="how_do_i_print_schematics_from_the_command_line">How do I print schematics from the command line?</a></h2>
  +<!-- SECTION [2405-3047] -->
  +<h2><a name="what_is_a_bus_and_how_do_i_use_it" id="what_is_a_bus_and_how_do_i_use_it">What is a Bus and how do I use it?</a></h2>
   <div class="level2">
   
   <p>
  -Running the script <strong><code>gschem-print.scm</code></strong> will create the Postscript file that is specified on the command line.
  + A &lsquo;bus&rsquo; is purely decoration.  The netlister ignores it.  The netname= attribute does actual work of connecting points together&ndash;this is what gnetlist reads and transforms into your netlist.  It is not possible to connect to a discrete line or &lsquo;bit&rsquo; on a bus because, again, the bus is merely a graphical entity.
   </p>
   
   <p>
  -The command line below creates a Postscript file from a schematic file (replace MY_SCH with the name of your schematic and GEDA_SCHEME_DIR with the name of the directory where your gEDA scheme files are installed): 
  +Some users have found it helpful to organize their nets by incorporating a bus name into the net name.   For example &ldquo;net=busA:1&rdquo; may be added to each busripper to aid in sorting out the nets.
   </p>
  -<pre class="code">gschem -p -oMY_SCH.ps -sGEDA_SCHEME_DIR/gschem-print.scm MY_SCH.sch</pre>
  +
  +</div>
  +<!-- SECTION [3048-3603] -->
  +<h2><a name="what_are_the_key_bindings_in_gschem" id="what_are_the_key_bindings_in_gschem">What are the Key Bindings in gschem?</a></h2>
  +<div class="level2">
  +
  +</div>
  +<!-- SECTION [3604-3653] -->
  +<h2><a name="what_are_the_mouse_bindings_in_gschem" id="what_are_the_mouse_bindings_in_gschem">What are the mouse bindings in gschem?</a></h2>
  +<div class="level2">
   
   <p>
  -The BASH script below, which I name <strong><code>gschem-print</code></strong>, creates a Postscript file for each schematic file that is specified on the command line and then outputs each Postscript file to the default printer: 
  +By default you get:
   </p>
  -<pre class="code">#!/bin/bash
  +<ul>
  +<li class="level1"><div class="li"> Left mouse button is used for picking and drawing</div>
  +</li>
  +<li class="level1"><div class="li"> Middle mouse button is either move object (just hold down the middle button over an object and move the mouse) or copy object (ALT key held down while holding down the middle button over object and move the mouse).</div>
  +</li>
  +<li class="level1"><div class="li"> Right mouse button is a popup menu.</div>
  +</li>
  +</ul>
   
  -# gschem options
  -# -oPS_FILENAME output to Postscript file PS_FILENAME
  -# -sSCRIPT_FILENAME run script SCRIPT_FILENAME
  -# -p autoplace windows
  +<p>
  + You can change the middle button by adding the following to a gschemrc file: 
  +</p>
  +<pre class="code">(middle-button &quot;action&quot;)   ;default binding, move or copy an object</pre>
   
  -for name in $*
  -do
  -base=â??${name%.*}â??
  -gschem -p -o$base.ps -sGEDA_SCHEME_DIR/gschem-print.scm $base.sch
  -lpr -P$PRINTER $base.ps
  -done</pre>
  +<p>
  +or: 
  +</p>
  +<pre class="code">(middle-button &quot;stroke&quot;)   ;draw mouse gestures/strokes (must install libstroke to enable</pre>
  +
  +<p>
  +or: 
  +</p>
  +<pre class="code">(middle-button &quot;repeat&quot;)   ;repeat the last command executed</pre>
  +
  +<p>
  +You can change the right button by adding the following to a gschemrc file: 
  +</p>
  +<pre class="code">(third-button &quot;popup&quot;)     ;default binding, show a popup menu</pre>
  +
  +<p>
  +or: 
  +</p>
  +<pre class="code">(third-button &quot;mousepan&quot;)  ;use the mouse to pan around the schematic</pre>
  +
  +<p>
  +For more information on these options, please see the <strong><code>${install_prefix}/share/gEDA/system-gschemrc</code></strong> file.
  +</p>
   
   </div>
  -<!-- SECTION [5789-6774] -->
  -<h2><a name="how_can_i_get_color_postscript_png_output" id="how_can_i_get_color_postscript_png_output">How can I get color postscript/PNG output?</a></h2>
  +<!-- SECTION [3654-4739] -->
  +<h1><a name="gschem_symbols" id="gschem_symbols">gschem symbols</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [4740-4769] -->
  +<h2><a name="what_s_this_business_about_heavy_vs._light_symbols" id="what_s_this_business_about_heavy_vs._light_symbols">What's this business about heavy vs. light symbols?</a></h2>
   <div class="level2">
   
   <p>
  -Edit the <strong><code>system-gschemrc</code></strong> file or place the following into a <strong><code>gschemrc</code></strong> file (either <strong><code>~/.gEDA/gschemrc</code></strong> or a <strong><code>gschemrc</code></strong> file in the local directory where you invoke gschem): 
  +This nomenclature arose from a discussion which frequently appears on the geda-user and geda-dev mailing lists. A light symbol is one which contains very few built-in attributes in the symbol itself. It requires that the user attach almost all attributes at the schematic level (e.g. using either gschem or gattrib). A heavy symbol is one which contains many attributes (such as package footprints, SPICE model names, etc.) built into the symbol file itself. A heavy symbol therefore requires very little attribute attachment at the schematic level â?? you just place it and youâ??re done.
   </p>
  -<pre class="code">(output-color &quot;enabled&quot;)      ; for color postscript output
  -(image-color &quot;enabled&quot;)       ; for color PNG output (enabled by default)</pre>
   
   <p>
  -To control the background of the PS output, change the following line in either gschem-darkbg (for the default black colored background) or gschem-lightbg (for the alternative light colored background): 
  +The debate between proponents of heavy and light symbols is very detailed and involved. In caricature, proponents of heavy symbols belive that they provide better integration between gschem and PCB since the important layout attributes (such as <a href="http://geda.seul.org/wiki/geda:pcb_tips#i_want_to_use_pcb_to_do_layout._how_do_i_know_what_value_to_use_for_the_footprint_attribute"; class="wikilink1" title="geda:pcb_tips">footprint name</a>) are already built into the symbol. This is considered a good thing for new users (noobs) who just want to design a simple board and donâ??t appreciate or donâ??t care about the zillions of variations that even a simple resistor might have (e.g. different footprint, TCR, precision, material composition, etc). Proponents of light symbols prefer to deal with attributes at the schematic level because they believe it to be more flexible. They are quick to point out that a library of heavy symbols will quickly grow into the thousands of parts with grotesquely long names trying to distinguish between the different variations of the part. They also point out that the utility &ldquo;gattribâ?? is the preferred tool for dealing with attributes at the schematic level (i.e. in the .sch file).
   </p>
  -<pre class="code">(output-color-background 16 &quot;black&quot; &quot;null&quot; &quot;0 0 0&quot; 0 0 0)</pre>
   
   <p>
  -The â??0 0 0â?? is the RGB components (between 0..1) for the background color of the PS output.
  +GEDA/gaf, as default configured, uses light symbols, although it can be configured to use heavy symbols. For further information, you may read these dicussions from the geda-user mailing list:
   </p>
   
   <p>
  -To control the background of the <acronym title="Portable Network Graphics">PNG</acronym> output, change the following line in either gschem-darkbg (for the default black colored background) or gschem-lightbg (for the alternative light colored background): 
  +<a href="http://archives.seul.org/geda/user/Jun-2005/msg00001.html"; class="urlextern" title="http://archives.seul.org/geda/user/Jun-2005/msg00001.html";  rel="nofollow">http://archives.seul.org/geda/user/Jun-2005/msg00001.html</a> <a href="http://archives.seul.org/geda/dev/Oct-2005/msg00043.html"; class="urlextern" title="http://archives.seul.org/geda/dev/Oct-2005/msg00043.html";  rel="nofollow">http://archives.seul.org/geda/dev/Oct-2005/msg00043.html</a>
   </p>
  -<pre class="code">(background-color 0 &quot;grey94&quot; &quot;null&quot; &quot;1 1 1&quot; 255 255 255)</pre>
  +
  +</div>
  +<!-- SECTION [4770-6900] -->
  +<h2><a name="i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid" id="i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid">I am using a symbol out of the library.  How come it's not aligned to the grid?</a></h2>
  +<div class="level2">
   
   <p>
  -The 255 255 255 are the RGB components for the background color of the <acronym title="Portable Network Graphics">PNG</acronym> image.
  +The symbols in the symbol library, like those available at the  <a href="http://www.gedasymbols.org/"; class="urlextern" title="http://www.gedasymbols.org";  rel="nofollow"> gedasymbols </a> website are contributed by users just like you.  Some people use different grid settings than other people (e.g. 50mil vs. 100mil).   If you discover a symbol which seems to be off the grid, try reducing your grid spacing, move the symbol so that it sits on <strong>your</strong> grid, then revert to your preferred grid settings.
  +</p>
  +
  +<p>
  +Yes, the gEDA docs suggest that you use a 100mil grid spacing.  But everybody likes to do things their own way, and there is no overall symbol dictator to enforce the rules on contributed symbols.  Therefore, you just need to be aware of this possibility.
   </p>
   
   </div>
  -<!-- SECTION [6775-7906] -->
  -<h2><a name="how_can_i_get_black_and_white_postscript_png_output" id="how_can_i_get_black_and_white_postscript_png_output">How can I get black and white postscript/PNG output?</a></h2>
  +<!-- SECTION [6901-7678] -->
  +<h2><a name="is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection" id="is_there_an_explicit_no_connect_symbol_that_i_can_should_place_in_the_schematic_to_prevent_gnetlist_from_thinking_i_ve_forgotten_a_connection">Is there an explicit &quot;no connect&quot; symbol that I can/should place in the schematic to prevent gnetlist from thinking I've forgotten a connection?</a></h2>
   <div class="level2">
   
   <p>
  -For black and white PS output, place the following into a gschemrc file: 
  +Answer: misc â?? nc-left, nc-right, nc-top, nc-bottom.
   </p>
  -<pre class="code">(output-color &quot;disabled&quot;)      ; for monochrome postscript output</pre>
   
   <p>
  -For black and white <acronym title="Portable Network Graphics">PNG</acronym> images, place the following into a gschemrc file: 
  +Caution: occassionally this may create a net called â??no_connectâ?? (or â??NC??&rdquo;) which may lead to no-connect pins being connected together in gnetlist â?? which you probably _donâ??t_ want to happen.
  +</p>
  +
  +<p>
  +If you want an entire symbol to be graphical (no elec. connections) , add a &ldquo;graphical=1&rdquo; attribute.  The netlister will ignore these symbols entirely.
   </p>
  -<pre class="code">(image-color &quot;disabled&quot;)       ; for monochromoe PNG output</pre>
   
   </div>
  -<!-- SECTION [7907-8272] -->
  -<h2><a name="how_can_i_insert_schematics_into_my_latex_document" id="how_can_i_insert_schematics_into_my_latex_document">How can I insert schematics into my LaTex document?</a></h2>
  +<!-- SECTION [7679-8248] -->
  +<h2><a name="how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic" id="how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic">How do I promote an invisible symbol attribute into the schematic?</a></h2>
   <div class="level2">
  -<ol>
  -<li class="level1"><div class="li"> Print the schematic to a file. This will be generic postscript (*.ps).</div>
  -</li>
  -<li class="level1"><div class="li"> Convert the postscript file to epsi with the tool ps2epsi. This is a script from the ghostscript suite.</div>
  -</li>
  -<li class="level1"><div class="li"> Include usepackage{graphicx} to the preamble of your latex document. Use the comand includegraphics to place your schematic.</div>
  -</li>
  -</ol>
   
   <p>
  - A simple example: 
  +Most attributes living in the symbol do not get promoted to the schematic unless they are visible. To promote invisible symbol attributes, look for the following keywords in the system-gschemrc file: 
  +</p>
  +<pre class="code">(attribute-promotion â??enabledâ??);
  +(promote-invisible â??disabledâ??) ; â?? This one
  +(keep-invisible â??enabledâ??)</pre>
  +
  +<p>
  +Add to your gschemrc file: 
  +</p>
  +<pre class="code">(promote-invisible â??enabledâ??)</pre>
  +
  +<p>
  +and you will get all the attributes promoted. The â??keep-invisibleâ?? keyword will keep hidden those attributes that are hidden in the symbol file.
   </p>
  -<pre class="code">\documentclass{article}
  -\usepackage{graphicx}
  -\begin{document}
  -  \begin{image}
  -  \includegraphics[width=100mm]{ModulPID.epsi}
  -  \end{image}
  -\end{document}</pre>
   
   </div>
  -<!-- SECTION [8273-8836] -->
  -<h2><a name="how_can_i_split_postscript_output_over_multiple_pages" id="how_can_i_split_postscript_output_over_multiple_pages">How can I split postscript output over multiple pages?</a></h2>
  +<!-- SECTION [8249-8884] -->
  +<h2><a name="what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit" id="what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit">What should I do about power pins on my symbols: Make them visible (explicit) or invisible (implicit)?</a></h2>
   <div class="level2">
   
   <p>
  -gschem does not provide this functionality internally, however there is a program called â??posterâ?? which does exactly this. It can be downloaded from either <a href="http://www.gnu.org/directory/poster.html"; class="urlextern" title="http://www.gnu.org/directory/poster.html";  rel="nofollow">here</a> (GNU) or <a href="http://printing.kde.org/downloads/"; class="urlextern" title="http://printing.kde.org/downloads/";  rel="nofollow">here</a> (KDE Print).
  +In the past, digital logic circuits often hid the power pin, and attached power nets using an attribute inside the symbol. Modern thought is that this is a bad practice (although religious wars still occasionally rage about this topic).
  +</p>
  +
  +<p>
  +Itâ??s marginally OK for an old logic circuit which is all 5V TTL to have hidden power and GND pins. If you only have +5V on your board, then hiding the power pin can simplify your schematic somewhat. However, few designers design such circuits nowadays; 5V TTL (and 5V CMOS) are rapidly becoming antique technologies.
  +</p>
  +
  +<p>
  +Itâ??s always been unacceptable to hide the power pins on analog chips. First, analog often has multiple power connections (VCC, VEE) which need to be explicitly drawn out. Second, good design practice is to place decoupling caps on each and every power pin. Sometimes one places an inductor in series with power also. Since these should be drawn into the schematic, it is best done by attaching them to an explicit power pin. Therefore, one should never use hidden power pins for analog symbols.
  +</p>
  +
  +<p>
  +New logic circuits often use multiple supplies for different chip sections (OVDD, DVDD, etc). It is also typical to have several logic families on a single board (5V, 3.3V etc.). Therefore, itâ??s best to explicitly place and wire the power pins on the symbol. Hidden power pins are a recipe for disaster since you can all too easily misconnect a 5V part to a 3.3V power net, for example.
  +</p>
  +
  +<p>
  +To paraphrase Nancy Reagan: Just say â??noâ?? to hidden power pins.
  +</p>
  +
  +<p>
  +That said, it may still be usefull to detach the power pins from the functional part of the symbol. To do so, define a seperate power symbol and give it the same <a href="http://geda.seul.org/wiki/geda:glossary"; class="wikilink1" title="geda:glossary">refdes</a> as the functional part. A run of gsch2pcb will treat the siblings properly as one single component. As neither gschem nor gsch2pcb explicitely know that the component is only complete with both symbols defined, you have to check yourself. With this workaround, you can draw all power related circuitry in one corner of the schematic where it does not interfere with the signal nets. In many cases this is advantageous with analog circuits.
   </p>
   
   </div>
  -<!-- SECTION [8837-9181] -->
  -<h2><a name="how_do_i_unlock_a_locked_component" id="how_do_i_unlock_a_locked_component">How do I unlock a locked component</a></h2>
  +<!-- SECTION [8885-11142] -->
  +<h2><a name="is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it" id="is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it">Is there a specification or manual for creating gschem symbols? Where is it?</a></h2>
   <div class="level2">
   
   <p>
  -When a component is locked it is unselectable using the middle mouse button however it is selectable using a window select. To window select a component click and hold the left mouse button and drag the mouse to create a rectangular region containing the component to be unlocked. Execute the command <strong><em>Edit</em></strong> <strong>â??</strong> <strong><em>unLock</em></strong> to unlock the component.
  +Yes. It is the <a href="geda_scg.html" class="wikilink1" title="geda:scg">Symbol Creation Guide</a>.
   </p>
   
   </div>
  -<!-- SECTION [9182-9591] -->
  +<!-- SECTION [11143-11283] -->
   <h1><a name="gschem_configuration_customization" id="gschem_configuration_customization">Gschem configuration/customization</a></h1>
   <div class="level1">
   
  @@ -380,7 +362,7 @@
   </p>
   
   </div>
  -<!-- SECTION [9592-9769] -->
  +<!-- SECTION [11284-11461] -->
   <h2><a name="how_can_i_change_the_default_size_of_floating_text" id="how_can_i_change_the_default_size_of_floating_text">How can I change the default size of floating text?</a></h2>
   <div class="level2">
   
  @@ -394,7 +376,7 @@
   </p>
   
   </div>
  -<!-- SECTION [9770-9928] -->
  +<!-- SECTION [11462-11620] -->
   <h2><a name="how_can_i_have_a_different_background_color_other_than_black" id="how_can_i_have_a_different_background_color_other_than_black">How can I have a different background color other than black?</a></h2>
   <div class="level2">
   
  @@ -420,170 +402,221 @@
   </p>
   
   <p>
  -<acronym title="In my humble opinion">IMHO</acronym>, a black background is easier on the eyes for long periods of time.
  +A dark background is preferred by many users.
   </p>
   
   </div>
  -<!-- SECTION [9929-10992] -->
  -<h2><a name="how_can_i_get_refdes_automatically_numbered_when_i_draw_a_schematic" id="how_can_i_get_refdes_automatically_numbered_when_i_draw_a_schematic">How can I get refdes automatically numbered when I draw a schematic?</a></h2>
  +<!-- SECTION [11621-12656] -->
  +<h1><a name="printing_output" id="printing_output">Printing/Output</a></h1>
  +<div class="level1">
  +
  +</div>
  +<!-- SECTION [12657-12686] -->
  +<h2><a name="how_do_i_print_schematics_from_the_command_line" id="how_do_i_print_schematics_from_the_command_line">How do I print schematics from the command line?</a></h2>
   <div class="level2">
   
   <p>
  -Edit the system-gschemrc file or place the following into a gschemrc file (either <strong><code>~/.gEDA/gschemrc</code></strong> or a <strong><code>gschemrc</code></strong> file in the local directory where you invoke gschem): 
  +Running the script <strong><code>gschem-print.scm</code></strong> will create the Postscript file that is specified on the command line.
   </p>
  -<pre class="code">(load &quot;$YOUR_INSTALL_PATH/share/gEDA/scheme/auto-uref.scm&quot;)  ; load the autonumbering script
  -(add-hook! add-component-hook auto-uref)                     ; autonumber when adding a component
  -(add-hook! copy-component-hook auto-uref)                    ; autonumber when copying a component</pre>
   
   <p>
  -Please substitute $YOUR_INSTALL_PATH by the path where geda is installed.
  +The command line below creates a Postscript file from a schematic file (replace MY_SCH with the name of your schematic and GEDA_SCHEME_DIR with the name of the directory where your gEDA scheme files are installed): 
   </p>
  -
  -</div>
  -<!-- SECTION [10993-11636] -->
  -<h2><a name="what_are_the_mouse_bindings_in_gschem" id="what_are_the_mouse_bindings_in_gschem">What are the mouse bindings in gschem?</a></h2>
  -<div class="level2">
  +<pre class="code">gschem -p -oMY_SCH.ps -sGEDA_SCHEME_DIR/gschem-print.scm MY_SCH.sch</pre>
   
   <p>
  -By default you get:
  +The BASH script below, which I name <strong><code>gschem-print</code></strong>, creates a Postscript file for each schematic file that is specified on the command line and then outputs each Postscript file to the default printer: 
   </p>
  -<ul>
  -<li class="level1"><div class="li"> Left mouse button is used for picking and drawing</div>
  -</li>
  -<li class="level1"><div class="li"> Middle mouse button is either move object (just hold down the middle button over an object and move the mouse) or copy object (ALT key held down while holding down the middle button over object and move the mouse).</div>
  -</li>
  -<li class="level1"><div class="li"> Right mouse button is a popup menu.</div>
  -</li>
  -</ul>
  +<pre class="code">#!/bin/bash
   
  -<p>
  - You can change the middle button by adding the following to a gschemrc file: 
  -</p>
  -<pre class="code">(middle-button &quot;action&quot;)   ;default binding, move or copy an object</pre>
  +# gschem options
  +# -oPS_FILENAME output to Postscript file PS_FILENAME
  +# -sSCRIPT_FILENAME run script SCRIPT_FILENAME
  +# -p autoplace windows
  +
  +for name in $*
  +do
  +base=â??${name%.*}â??
  +gschem -p -o$base.ps -sGEDA_SCHEME_DIR/gschem-print.scm $base.sch
  +lpr -P$PRINTER $base.ps
  +done</pre>
  +
  +</div>
  +<!-- SECTION [12687-13672] -->
  +<h2><a name="how_can_i_get_color_postscript_png_output" id="how_can_i_get_color_postscript_png_output">How can I get color postscript/PNG output?</a></h2>
  +<div class="level2">
   
   <p>
  -or: 
  +Edit the <strong><code>system-gschemrc</code></strong> file or place the following into a <strong><code>gschemrc</code></strong> file (either <strong><code>~/.gEDA/gschemrc</code></strong> or a <strong><code>gschemrc</code></strong> file in the local directory where you invoke gschem): 
   </p>
  -<pre class="code">(middle-button &quot;stroke&quot;)   ;draw mouse gestures/strokes (must install libstroke to enable</pre>
  +<pre class="code">(output-color &quot;enabled&quot;)      ; for color postscript output
  +(image-color &quot;enabled&quot;)       ; for color PNG output (enabled by default)</pre>
   
   <p>
  -or: 
  +To control the background of the PS output, change the following line in either gschem-darkbg (for the default black colored background) or gschem-lightbg (for the alternative light colored background): 
   </p>
  -<pre class="code">(middle-button &quot;repeat&quot;)   ;repeat the last command executed</pre>
  +<pre class="code">(output-color-background 16 &quot;black&quot; &quot;null&quot; &quot;0 0 0&quot; 0 0 0)</pre>
   
   <p>
  -You can change the right button by adding the following to a gschemrc file: 
  +The â??0 0 0â?? is the RGB components (between 0..1) for the background color of the PS output.
   </p>
  -<pre class="code">(third-button &quot;popup&quot;)     ;default binding, show a popup menu</pre>
   
   <p>
  -or: 
  +To control the background of the <acronym title="Portable Network Graphics">PNG</acronym> output, change the following line in either gschem-darkbg (for the default black colored background) or gschem-lightbg (for the alternative light colored background): 
   </p>
  -<pre class="code">(third-button &quot;mousepan&quot;)  ;use the mouse to pan around the schematic</pre>
  +<pre class="code">(background-color 0 &quot;grey94&quot; &quot;null&quot; &quot;1 1 1&quot; 255 255 255)</pre>
   
   <p>
  -For more information on these options, please see the <strong><code>${install_prefix}/share/gEDA/system-gschemrc</code></strong> file.
  +The 255 255 255 are the RGB components for the background color of the <acronym title="Portable Network Graphics">PNG</acronym> image.
   </p>
   
   </div>
  -<!-- SECTION [11637-12722] -->
  -<h1><a name="information_on_gschem_symbols" id="information_on_gschem_symbols">Information on gschem symbols</a></h1>
  -<div class="level1">
  -
  -</div>
  -<!-- SECTION [12723-12767] -->
  -<h2><a name="what_s_this_business_about_heavy_vs._light_symbols" id="what_s_this_business_about_heavy_vs._light_symbols">What's this business about heavy vs. light symbols?</a></h2>
  +<!-- SECTION [13673-14804] -->
  +<h2><a name="how_can_i_get_black_and_white_postscript_png_output" id="how_can_i_get_black_and_white_postscript_png_output">How can I get black and white postscript/PNG output?</a></h2>
   <div class="level2">
   
   <p>
  -This nomenclature arose from a discussion which frequently appears on the geda-user and geda-dev mailing lists. A light symbol is one which contains very few built-in attributes in the symbol itself. It requires that the user attach almost all attributes at the schematic level (e.g. using either gschem or gattrib). A heavy symbol is one which contains many attributes (such as package footprints, SPICE model names, etc.) built into the symbol file itself. A heavy symbol therefore requires very little attribute attachment at the schematic level â?? you just place it and youâ??re done.
  +For black and white PS output, place the following into a gschemrc file: 
   </p>
  +<pre class="code">(output-color &quot;disabled&quot;)      ; for monochrome postscript output</pre>
   
   <p>
  -The debate between proponents of heavy and light symbols is very detailed and involved. In caricature, proponents of heavy symbols belive that they provide better integration between gschem and PCB since the important layout attributes (such as <a href="geda_pcb_tips.html" class="wikilink1" title="geda:pcb_tips">footprint name</a>) are already built into the symbol. This is considered a good thing for new users (noobs) who just want to design a simple board and donâ??t appreciate or donâ??t care about the zillions of variations that even a simple resistor might have (e.g. different footprint, TCR, precision, material composition, etc). Proponents of light symbols prefer to deal with attributes at the schematic level because they believe it to be more flexible. They are quick to point out that a library of heavy symbols will quickly grow into the thousands of parts with grotesquely long names trying to distinguish between the different variations of the part. They also point out that the utility �����gattribâ?? is the preferred tool for dealing with attributes at the schematic level (i.e. in the .sch file).
  +For black and white <acronym title="Portable Network Graphics">PNG</acronym> images, place the following into a gschemrc file: 
   </p>
  +<pre class="code">(image-color &quot;disabled&quot;)       ; for monochromoe PNG output</pre>
   
  -<p>
  -GEDA/gaf, as default configured, uses light symbols, although it can be configured to use heavy symbols. For further information, you may read these dicussions from the geda-user mailing list:
  -</p>
  +</div>
  +<!-- SECTION [14805-15170] -->
  +<h2><a name="how_can_i_insert_schematics_into_my_latex_document" id="how_can_i_insert_schematics_into_my_latex_document">How can I insert schematics into my LaTex document?</a></h2>
  +<div class="level2">
  +<ol>
  +<li class="level1"><div class="li"> Print the schematic to a file. This will be generic postscript (*.ps).</div>
  +</li>
  +<li class="level1"><div class="li"> Convert the postscript file to epsi with the tool ps2epsi. This is a script from the ghostscript suite.</div>
  +</li>
  +<li class="level1"><div class="li"> Include usepackage{graphicx} to the preamble of your latex document. Use the comand includegraphics to place your schematic.</div>
  +</li>
  +</ol>
   
   <p>
  -<a href="http://archives.seul.org/geda/user/Jun-2005/msg00001.html"; class="urlextern" title="http://archives.seul.org/geda/user/Jun-2005/msg00001.html";  rel="nofollow">http://archives.seul.org/geda/user/Jun-2005/msg00001.html</a> <a href="http://archives.seul.org/geda/dev/Oct-2005/msg00043.html"; class="urlextern" title="http://archives.seul.org/geda/dev/Oct-2005/msg00043.html";  rel="nofollow">http://archives.seul.org/geda/dev/Oct-2005/msg00043.html</a>
  + A simple example: 
   </p>
  +<pre class="code">\documentclass{article}
  +\usepackage{graphicx}
  +\begin{document}
  +  \begin{image}
  +  \includegraphics[width=100mm]{ModulPID.epsi}
  +  \end{image}
  +\end{document}</pre>
   
   </div>
  -<!-- SECTION [12768-14912] -->
  -<h2><a name="i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid" id="i_am_using_a_symbol_out_of_the_library._how_come_it_s_not_aligned_to_the_grid">I am using a symbol out of the library.  How come it's not aligned to the grid?</a></h2>
  +<!-- SECTION [15171-15734] -->
  +<h2><a name="how_can_i_split_postscript_output_over_multiple_pages" id="how_can_i_split_postscript_output_over_multiple_pages">How can I split postscript output over multiple pages?</a></h2>
   <div class="level2">
   
   <p>
  -The symbols in the symbol library, like those available at the  <a href="http://www.gedasymbols.org/"; class="urlextern" title="http://www.gedasymbols.org";  rel="nofollow"> gedasymbols </a> website are contributed by users just like you.  Some people use different grid settings than other people (e.g. 50mil vs. 100mil).   If you discover a symbol which seems to be off the grid, try reducing your grid spacing, move the symbol so that it sits on <strong>your</strong> grid, then revert to your preferred grid settings.
  +gschem does not provide this functionality internally, however there is a program called â??posterâ?? which does exactly this. It can be downloaded from either <a href="http://www.gnu.org/directory/poster.html"; class="urlextern" title="http://www.gnu.org/directory/poster.html";  rel="nofollow">here</a> (GNU) or <a href="http://printing.kde.org/downloads/"; class="urlextern" title="http://printing.kde.org/downloads/";  rel="nofollow">here</a> (KDE Print).
   </p>
   
  -<p>
  -Yes, the gEDA docs suggest that you use a 100mil grid spacing.  But everybody likes to do things their own way, and there is no overall symbol dictator to enforce the rules on contributed symbols.  Therefore, you just need to be aware of this possibility.
  -</p>
  +</div>
  +<!-- SECTION [15735-16078] -->
  +<h1><a name="gschem_installation_run-time_problems" id="gschem_installation_run-time_problems">Gschem installation/run-time problems</a></h1>
  +<div class="level1">
   
   </div>
  -<!-- SECTION [14913-15690] -->
  -<h2><a name="how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic" id="how_do_i_promote_an_invisible_symbol_attribute_into_the_schematic">How do I promote an invisible symbol attribute into the schematic?</a></h2>
  +<!-- SECTION [16079-16131] -->
  +<h2><a name="gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around" id="gschem_segfaults_when_i_delete_components_on_fc5_and_other_linux_distributions_is_there_a_work-around">Gschem segfaults when I delete components on FC5 (and other Linux distributions)! Is there a work-around?</a></h2>
   <div class="level2">
   
   <p>
  -Most attributes living in the symbol do not get promoted to the schematic unless they are visible. To promote invisible symbol attributes, look for the following keywords in the system-gschemrc file: 
  +This bug seems to have appeared for users of Fedora Core 5 (and other linux distributions that use glib 2.10.x). The bug has been fixed by the developers and the bug fix will appear in the next version of gEDA/gaf. 
   </p>
  -<pre class="code">(attribute-promotion â??enabledâ??);
  -(promote-invisible â??disabledâ??) ; â?? This one
  -(keep-invisible â??enabledâ??)</pre>
   
   <p>
  -Add to your gschemrc file: 
  +In the mean time, you can work around this bug by setting the environment variable G_SLICE to â??always-mallocâ??.  Specifically, before you run gschem, do this:
   </p>
  -<pre class="code">(promote-invisible â??enabledâ??)</pre>
   
   <p>
  -and you will get all the attributes promoted. The â??keep-invisibleâ?? keyword will keep hidden those attributes that are hidden in the symbol file.
  +bash: 
   </p>
  +<pre class="code">export G_SLICE=always-malloc</pre>
  +
  +<p>
  +csh: 
  +</p>
  +<pre class="code">setenv G_SLICE always-malloc</pre>
   
   </div>
  -<!-- SECTION [15691-16326] -->
  -<h2><a name="what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit" id="what_should_i_do_about_power_pins_on_my_symbolsmake_them_visible_explicit_or_invisible_implicit">What should I do about power pins on my symbols: Make them visible (explicit) or invisible (implicit)?</a></h2>
  +<!-- SECTION [16132-16726] -->
  +<h2><a name="after_installation_gschem_does_not_work_what_could_be_wrong" id="after_installation_gschem_does_not_work_what_could_be_wrong">After installation gschem does not work!? What could be wrong?</a></h2>
   <div class="level2">
   
   <p>
  -In the past, digital logic circuits often hid the power pin, and attached power nets using an attribute inside the symbol. Modern thought is that this is a bad practice (although religious wars still occasionally rage about this topic).
  +If you run gschem and you get a window without a menu bar, no colors, and the program terminates when you press a key with the following message: 
   </p>
  +<pre class="code">ERROR: Unbound variable: current-keymap</pre>
   
   <p>
  -Itâ??s marginally OK for an old logic circuit which is all 5V TTL to have hidden power and GND pins. If you only have +5V on your board, then hiding the power pin can simplify your schematic somewhat. However, few designers design such circuits nowadays; 5V TTL (and 5V CMOS) are rapidly becoming antique technologies.
  +Or you get errors like this: 
   </p>
  +<pre class="code">Gtk-CRITICAL : file gtkpixmap.c: line 97 (gtk_pixmap_new): assertion `val != NULLâ?? failed.
  +Gtk-CRITICAL : file gtkpixmap.c: line 97 (gtk_pixmap_new): assertion `val != NULLâ?? failed.
  +Tried to get an invalid color: 0
  +Tried to get an invalid color: 7
  +Tried to get an invalid color: 0
  +Tried to get an invalid color: 7</pre>
   
   <p>
  -Itâ??s always been unacceptable to hide the power pins on analog chips. First, analog often has multiple power connections (VCC, VEE) which need to be explicitly drawn out. Second, good design practice is to place decoupling caps on each and every power pin. Sometimes one places an inductor in series with power also. Since these should be drawn into the schematic, it is best done by attaching them to an explicit power pin. Therefore, one should never use hidden power pins for analog symbols.
  +then gschem is not finding an rc file. There are two required rc files. The first is <strong><code>system-gschemrc</code></strong> and the second is <strong><code>system-commonrc</code></strong>.
   </p>
  +<ul>
  +<li class="level1"><div class="li"> The system-gschemrc rc file should be installed when you install gschem and typically resides in <strong><code>${prefix}/share/gEDA/system-gschemrc</code></strong>. <strong><code>${prefix}</code></strong> is where you installed gschem (usually <strong><code>/usr</code></strong> or <strong><code>/usr/local</code></strong> or <strong><code>$HOME/geda</code></strong>). This file can also be installed in /etc/gEDA (the .debs packages do this).</div>
  +</li>
  +<li class="level1"><div class="li"> The system-commonrc rc file should be installed when you install the symbol library for gEDA/gaf. It resides in <strong><code>${prefix}/share/gEDA/system-commonrc</code></strong>. This file can also be installed in <strong><code>/etc/gEDA</code></strong> (the .debs packages do this). This file is not loaded directly by gschem. It is loaded by a â??(load ...)â?? in the system-gschemrc rc file.</div>
  +</li>
  +</ul>
   
   <p>
  -New logic circuits often use multiple supplies for different chip sections (OVDD, DVDD, etc). It is also typical to have several logic families on a single board (5V, 3.3V etc.). Therefore, itâ??s best to explicitly place and wire the power pins on the symbol. Hidden power pins are a recipe for disaster since you can all too easily misconnect a 5V part to a 3.3V power net, for example.
  + Make sure these file are installed. The gschem.log file (which is created everytime you run gschem) holds valuable debugging information which should help in determining what is wrong. Check this file for where gschem is looking for the rc files.
   </p>
   
   <p>
  -To paraphrase Nancy Reagan: Just say â??noâ?? to hidden power pins.
  +Also, some older releases of gEDA/gaf had some bugs when the rc files were installed in other locations (other that <strong><code>${prefix}/share/gEDA</code></strong>), so please upgrade to a more current release.
   </p>
   
  +</div>
  +<!-- SECTION [16727-18650] -->
  +<h2><a name="add_components_offers_no_symbols_what_can_i_do_about_it" id="add_components_offers_no_symbols_what_can_i_do_about_it">&quot;Add Components&quot; offers no symbols! What can I do about it?</a></h2>
  +<div class="level2">
  +
   <p>
  -That said, it may still be usefull to detach the power pins from the functional part of the symbol. To do so, define a seperate power symbol and give it the same <a href="http://geda.seul.org/wiki/geda:glossary"; class="wikilink1" title="geda:glossary">refdes</a> as the functional part. A run of gsch2pcb will treat the siblings properly as one single component. As neither gschem nor gsch2pcb explicitely know that the component is only complete with both symbols defined, you have to check yourself. With this workaround, you can draw all power related circuitry in one corner of the schematic where it does not interfere with the signal nets. In many cases this is advantageous with analog circuits.
  +Make sure that at least one of your config files contains a valid path to a symbol library. At startup, gschem checks for the following config files (on a Debian system):
   </p>
  +<ol>
  +<li class="level1"><div class="li"> system gafrc file: <code>/etc/gEDA/system-gafrc</code></div>
  +</li>
  +<li class="level1"><div class="li"> user gafrc file: <code>~/.gEDA/gafrc</code></div>
  +</li>
  +<li class="level1"><div class="li"> local gafrc file: <code>$PWD/gafrc</code></div>
  +</li>
  +<li class="level1"><div class="li"> system gschemrc file: <code>/etc/gEDA/system-gschemrc</code></div>
  +</li>
  +<li class="level1"><div class="li"> user gschemrc file: <code>~/.gEDA/gschemrc</code></div>
  +</li>
  +<li class="level1"><div class="li"> local gschemrc file: <code>$PWD/gschemrc]</code></div>
  +</li>
  +</ol>
   
  -</div>
  -<!-- SECTION [16327-18584] -->
  -<h2><a name="is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it" id="is_there_a_specification_or_manual_for_creating_gschem_symbols_where_is_it">Is there a specification or manual for creating gschem symbols? Where is it?</a></h2>
  -<div class="level2">
  +<p>
  +All of these config files may or may not append paths to the library search list. If a config file conatins the command 
  +</p>
  +<pre class="code">(reset-component-library)</pre>
   
   <p>
  -Yes. It is the <a href="geda_scg.html" class="wikilink1" title="geda:scg">Symbol Creation Guide</a>. 
  + the library search path will be emptied. Order is obviously important, as this command will erase any previously appended paths.   
   </p>
   
   </div>
  -<!-- SECTION [18585-] --></div>
  +<!-- SECTION [18651-] --></div>
   </body>
   </html>
  
  
  
  1.3       +1 -1      eda/geda/gaf/docs/wiki/geda_faq.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_faq.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_faq.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_faq.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_faq.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -13,7 +13,7 @@
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:faq?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:faq?do=export_raw"; />
     <meta name="date" content="2006-09-04T16:05:25-0400" />
  -  <meta name="robots" content="noindex,nofollow" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
  
  
  
  1.4       +18 -18    eda/geda/gaf/docs/wiki/geda_file_format_spec.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_file_format_spec.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_file_format_spec.html,v
  retrieving revision 1.3
  retrieving revision 1.4
  diff -u -b -r1.3 -r1.4
  --- geda_file_format_spec.html	7 Sep 2006 01:58:26 -0000	1.3
  +++ geda_file_format_spec.html	21 Oct 2006 03:57:46 -0000	1.4
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:file_format_spec?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:file_format_spec?do=export_raw"; />
  -  <meta name="date" content="2006-09-06T21:42:48-0400" />
  +  <meta name="date" content="2006-10-20T23:36:02-0400" />
     <meta name="robots" content="noindex,nofollow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -195,7 +195,7 @@
   </li>
   <li class="level1"><div class="li"> fileformat version is just an integer with no minor number.</div>
   </li>
  -<li class="level1"><div class="li"> Valid versions include: 19990601, 19990610, 19990705, 19990829, 19990919, 19991011, 20000220, 20000704, 20001006, 20001217, 20010304, 20010708, 20010722, 20020209, 20020414, 20020527, 20020825, 20021103, 20030223, 20030525, 20030901, 20040111, 20040710, 20041228, 20050313, 20050820, 20060123, 20060824, 20060906</div>
  +<li class="level1"><div class="li"> Valid versions include: 19990601, 19990610, 19990705, 19990829, 19990919, 19991011, 20000220, 20000704, 20001006, 20001217, 20010304, 20010708, 20010722, 20020209, 20020414, 20020527, 20020825, 20021103, 20030223, 20030525, 20030901, 20040111, 20040710, 20041228, 20050313, 20050820, 20060123, 20060824, 20060906, 20061020</div>
   </li>
   <li class="level1"><div class="li"> <acronym title="Concurrent Versions System">CVS</acronym> or test versions (should not be used): 20030921, 20031004, 20031019, 20031231, 20050814</div>
   </li>
  @@ -210,7 +210,7 @@
   <pre class="code">v 20040111 1</pre>
   
   </div>
  -<!-- SECTION [3505-5259] -->
  +<!-- SECTION [3505-5269] -->
   <h3><a name="line" id="line">line</a></h3>
   <div class="level3">
   
  @@ -299,7 +299,7 @@
   </p>
   
   </div>
  -<!-- SECTION [5260-6494] -->
  +<!-- SECTION [5270-6504] -->
   <h3><a name="picture" id="picture">picture</a></h3>
   <div class="level3">
   
  @@ -407,7 +407,7 @@
   </p>
   
   </div>
  -<!-- SECTION [6495-9280] -->
  +<!-- SECTION [6505-9290] -->
   <h3><a name="box" id="box">box</a></h3>
   <div class="level3">
   
  @@ -537,7 +537,7 @@
   </p>
   
   </div>
  -<!-- SECTION [9281-11487] -->
  +<!-- SECTION [9291-11497] -->
   <h3><a name="circle" id="circle">circle</a></h3>
   <div class="level3">
   
  @@ -654,7 +654,7 @@
   </p>
   
   </div>
  -<!-- SECTION [11488-13613] -->
  +<!-- SECTION [11498-13623] -->
   <h2><a name="arc" id="arc">arc</a></h2>
   <div class="level2">
   
  @@ -751,7 +751,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13614-15131] -->
  +<!-- SECTION [13624-15141] -->
   <h3><a name="text" id="text">text</a></h3>
   <div class="level3">
   
  @@ -882,7 +882,7 @@
   </p>
   
   </div>
  -<!-- SECTION [15132-17775] -->
  +<!-- SECTION [15142-17785] -->
   <h3><a name="net" id="net">net</a></h3>
   <div class="level3">
   
  @@ -932,7 +932,7 @@
   </p>
   
   </div>
  -<!-- SECTION [17776-18301] -->
  +<!-- SECTION [17786-18311] -->
   <h3><a name="bus" id="bus">bus</a></h3>
   <div class="level3">
   
  @@ -989,7 +989,7 @@
   </p>
   
   </div>
  -<!-- SECTION [18302-19233] -->
  +<!-- SECTION [18312-19243] -->
   <h3><a name="pin" id="pin">pin</a></h3>
   <div class="level3">
   
  @@ -1057,7 +1057,7 @@
   </p>
   
   </div>
  -<!-- SECTION [19234-20230] -->
  +<!-- SECTION [19244-20240] -->
   <h3><a name="component" id="component">component</a></h3>
   <div class="level3">
   
  @@ -1120,7 +1120,7 @@
   </p>
   
   </div>
  -<!-- SECTION [20231-21234] -->
  +<!-- SECTION [20241-21244] -->
   <h3><a name="font" id="font">font</a></h3>
   <div class="level3">
   
  @@ -1164,7 +1164,7 @@
   </p>
   
   </div>
  -<!-- SECTION [21235-21755] -->
  +<!-- SECTION [21245-21765] -->
   <h2><a name="colors" id="colors">Colors</a></h2>
   <div class="level2">
   
  @@ -1234,7 +1234,7 @@
   </p>
   
   </div>
  -<!-- SECTION [21756-22633] -->
  +<!-- SECTION [21766-22643] -->
   <h2><a name="attributes" id="attributes">Attributes</a></h2>
   <div class="level2">
   
  @@ -1256,7 +1256,7 @@
   </p>
   
   </div>
  -<!-- SECTION [22634-23609] -->
  +<!-- SECTION [22644-23619] -->
   <h2><a name="embedded_components" id="embedded_components">Embedded Components</a></h2>
   <div class="level2">
   
  @@ -1275,7 +1275,7 @@
   </p>
   
   </div>
  -<!-- SECTION [23610-24369] -->
  +<!-- SECTION [23620-24379] -->
   <h2><a name="document_revision_history" id="document_revision_history">Document Revision History</a></h2>
   <div class="level2">
   <table class="inline">
  @@ -1295,6 +1295,6 @@
   <br />
   
   </div>
  -<!-- SECTION [24370-] --></div>
  +<!-- SECTION [24380-] --></div>
   </body>
   </html>
  
  
  
  1.2       +2 -2      eda/geda/gaf/docs/wiki/geda_glossary.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_glossary.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_glossary.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- geda_glossary.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ geda_glossary.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:glossary?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:glossary?do=export_raw"; />
  -  <meta name="date" content="2006-05-07T01:11:00-0400" />
  +  <meta name="date" content="2006-09-29T15:11:42-0400" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -45,7 +45,7 @@
   </li>
   <li class="level1"><div class="li"> <strong>pin</strong>: A pin is a hole in the printed circuit that allows to connect a wired component. In many cases the hole is clad with copper by the pcb-fab.</div>
   </li>
  -<li class="level1"><div class="li"> <strong>pcb</strong>: In the context of gEDA this acronm has two distict meanings:</div>
  +<li class="level1"><div class="li"> <strong>pcb</strong>: In the context of gEDA this acronym has two distict meanings:</div>
   <ol>
   <li class="level2"><div class="li"> An abbreviation of â??printed circuit boardâ??. This is the actual hardware that is used to connect electronic components. It is also sometimes called a â??printed wiring boardâ?? (PWB), although this usage may be dying out.</div>
   </li>
  
  
  
  1.2       +99 -86    eda/geda/gaf/docs/wiki/geda_gschem_ug.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_gschem_ug.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_gschem_ug.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- geda_gschem_ug.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ geda_gschem_ug.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:gschem_ug?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:gschem_ug?do=export_raw"; />
  -  <meta name="date" content="2006-05-03T06:14:30-0400" />
  +  <meta name="date" content="2006-09-30T04:42:19-0400" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -1767,8 +1767,21 @@
   
   </p>
   
  +<p>
  +Since version 20060906, there is another way to move object(s):<br/>
  +
  +</p>
  +<ul>
  +<li class="level1"><div class="li"> Select the objects to be moved (i.e., in <strong>Select Mode</strong>).<br/>
  +</div>
  +</li>
  +<li class="level1"><div class="li"> Put the mouse pointer on one of the selected objects, then drag the objects and drop them where you want them.<br/>
  +</div>
  +</li>
  +</ul>
  +
   </div>
  -<!-- SECTION [67222-68126] -->
  +<!-- SECTION [67222-68375] -->
   <h3><a name="delete_delete-key" id="delete_delete-key">Delete (Delete-key)</a></h3>
   <div class="level3">
   
  @@ -1788,7 +1801,7 @@
   </p>
   
   </div>
  -<!-- SECTION [68127-68445] -->
  +<!-- SECTION [68376-68694] -->
   <h3><a name="rotate_90_mode_er" id="rotate_90_mode_er">Rotate 90 Mode (er)</a></h3>
   <div class="level3">
   
  @@ -1812,7 +1825,7 @@
   </p>
   
   </div>
  -<!-- SECTION [68446-69035] -->
  +<!-- SECTION [68695-69284] -->
   <h3><a name="mirror_mode_ei" id="mirror_mode_ei">Mirror Mode (ei)</a></h3>
   <div class="level3">
   
  @@ -1836,7 +1849,7 @@
   </p>
   
   </div>
  -<!-- SECTION [69036-69692] -->
  +<!-- SECTION [69285-69941] -->
   <h3><a name="slot..._e_shift-s" id="slot..._e_shift-s">Slot... (e shift-s)</a></h3>
   <div class="level3">
   
  @@ -1861,7 +1874,7 @@
   </p>
   
   </div>
  -<!-- SECTION [69693-70909] -->
  +<!-- SECTION [69942-71158] -->
   <h3><a name="color..._eo" id="color..._eo">Color... (eo)</a></h3>
   <div class="level3">
   
  @@ -1918,7 +1931,7 @@
   </p>
   
   </div>
  -<!-- SECTION [70910-71868] -->
  +<!-- SECTION [71159-72117] -->
   <h3><a name="lock_el_unlock_e_shift-l" id="lock_el_unlock_e_shift-l">Lock (el) / Unlock (e shift-l)</a></h3>
   <div class="level3">
   
  @@ -1942,7 +1955,7 @@
   </p>
   
   </div>
  -<!-- SECTION [71869-73407] -->
  +<!-- SECTION [72118-73656] -->
   <h3><a name="line_width_type..._ew" id="line_width_type..._ew">Line Width &amp; Type... (ew)</a></h3>
   <div class="level3">
   
  @@ -1978,7 +1991,7 @@
   </p>
   
   </div>
  -<!-- SECTION [73408-74023] -->
  +<!-- SECTION [73657-74272] -->
   <h3><a name="fill_type..._ef" id="fill_type..._ef">Fill Type... (ef)</a></h3>
   <div class="level3">
   
  @@ -2012,7 +2025,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [74024-74364] -->
  +<!-- SECTION [74273-74613] -->
   <h3><a name="symbol_translate..._et" id="symbol_translate..._et">Symbol Translate... (et)</a></h3>
   <div class="level3">
   
  @@ -2052,7 +2065,7 @@
   </p>
   
   </div>
  -<!-- SECTION [74365-75529] -->
  +<!-- SECTION [74614-75778] -->
   <h3><a name="embed_component_picture_eb" id="embed_component_picture_eb">Embed Component/Picture (eb)</a></h3>
   <div class="level3">
   
  @@ -2075,7 +2088,7 @@
   </p>
   
   </div>
  -<!-- SECTION [75530-76559] -->
  +<!-- SECTION [75779-76808] -->
   <h3><a name="unembed_component_picture_eu" id="unembed_component_picture_eu">Unembed Component/Picture (eu)</a></h3>
   <div class="level3">
   
  @@ -2095,7 +2108,7 @@
   </p>
   
   </div>
  -<!-- SECTION [76560-77149] -->
  +<!-- SECTION [76809-77398] -->
   <h3><a name="update_component_ep" id="update_component_ep">Update Component (ep)</a></h3>
   <div class="level3">
   
  @@ -2135,7 +2148,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [77150-78959] -->
  +<!-- SECTION [77399-79208] -->
   <h3><a name="show_hide_inv_text_en" id="show_hide_inv_text_en">Show/Hide Inv Text (en)</a></h3>
   <div class="level3">
   
  @@ -2201,7 +2214,7 @@
   </p>
   
   </div>
  -<!-- SECTION [78960-81787] -->
  +<!-- SECTION [79209-82036] -->
   <h3><a name="make_inv_text_vis_ev" id="make_inv_text_vis_ev">Make Inv Text Vis (ev)</a></h3>
   <div class="level3">
   
  @@ -2222,7 +2235,7 @@
   </p>
   
   </div>
  -<!-- SECTION [81788-82451] -->
  +<!-- SECTION [82037-82700] -->
   <h2><a name="buffer" id="buffer">Buffer</a></h2>
   <div class="level2">
   
  @@ -2231,7 +2244,7 @@
   </p>
   
   </div>
  -<!-- SECTION [82452-82571] -->
  +<!-- SECTION [82701-82820] -->
   <h3><a name="copy_into_1_2_3_4_5_yc" id="copy_into_1_2_3_4_5_yc">Copy into 1/2/3/4/5 (yc)</a></h3>
   <div class="level3">
   
  @@ -2246,7 +2259,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [82572-82724] -->
  +<!-- SECTION [82821-82973] -->
   <h3><a name="cut_into_1_2_3_4_5_yu" id="cut_into_1_2_3_4_5_yu">Cut into 1/2/3/4/5 (yu)</a></h3>
   <div class="level3">
   
  @@ -2255,7 +2268,7 @@
   </p>
   
   </div>
  -<!-- SECTION [82725-82826] -->
  +<!-- SECTION [82974-83075] -->
   <h3><a name="paste_from_1_2_3_4_5_yp" id="paste_from_1_2_3_4_5_yp">Paste from 1/2/3/4/5 (yp)</a></h3>
   <div class="level3">
   
  @@ -2278,12 +2291,12 @@
   </ol>
   
   </div>
  -<!-- SECTION [82827-83180] -->
  +<!-- SECTION [83076-83429] -->
   <h2><a name="view" id="view">View</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [83181-83198] -->
  +<!-- SECTION [83430-83447] -->
   <h3><a name="redraw_vr" id="redraw_vr">Redraw (vr)</a></h3>
   <div class="level3">
   
  @@ -2293,7 +2306,7 @@
   </p>
   
   </div>
  -<!-- SECTION [83199-83449] -->
  +<!-- SECTION [83448-83698] -->
   <h3><a name="pan_x" id="pan_x">Pan (x)</a></h3>
   <div class="level3">
   
  @@ -2340,7 +2353,7 @@
   </p>
   
   </div>
  -<!-- SECTION [83450-85246] -->
  +<!-- SECTION [83699-85495] -->
   <h3><a name="zoom_box_w" id="zoom_box_w">Zoom Box (w)</a></h3>
   <div class="level3">
   
  @@ -2367,7 +2380,7 @@
   </p>
   
   </div>
  -<!-- SECTION [85247-86127] -->
  +<!-- SECTION [85496-86376] -->
   <h3><a name="zoom_extents_ve" id="zoom_extents_ve">Zoom Extents (ve)</a></h3>
   <div class="level3">
   
  @@ -2386,7 +2399,7 @@
   </p>
   
   </div>
  -<!-- SECTION [86128-86494] -->
  +<!-- SECTION [86377-86743] -->
   <h3><a name="zoom_in_z" id="zoom_in_z">Zoom In (z)</a></h3>
   <div class="level3">
   
  @@ -2423,7 +2436,7 @@
   </p>
   
   </div>
  -<!-- SECTION [86495-87656] -->
  +<!-- SECTION [86744-87905] -->
   <h3><a name="zoom_out_z" id="zoom_out_z">Zoom Out (Z)</a></h3>
   <div class="level3">
   
  @@ -2460,7 +2473,7 @@
   </p>
   
   </div>
  -<!-- SECTION [87657-88845] -->
  +<!-- SECTION [87906-89094] -->
   <h3><a name="zoom_full_vf" id="zoom_full_vf">Zoom Full (vf)</a></h3>
   <div class="level3">
   
  @@ -2500,52 +2513,52 @@
   </p>
   
   </div>
  -<!-- SECTION [88846-89624] -->
  +<!-- SECTION [89095-89873] -->
   <h2><a name="page" id="page">Page</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [89625-89643] -->
  +<!-- SECTION [89874-89892] -->
   <h3><a name="manager..._pm" id="manager..._pm">Manager... (pm)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89644-89671] -->
  +<!-- SECTION [89893-89920] -->
   <h3><a name="next" id="next">Next (&gt;)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89672-89692] -->
  +<!-- SECTION [89921-89941] -->
   <h3><a name="previous" id="previous">Previous (&lt;)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89693-89717] -->
  +<!-- SECTION [89942-89966] -->
   <h3><a name="new_pe" id="new_pe">New (pe)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89718-89738] -->
  +<!-- SECTION [89967-89987] -->
   <h3><a name="revert_pr" id="revert_pr">Revert (pr)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89739-89762] -->
  +<!-- SECTION [89988-90011] -->
   <h3><a name="close_pc" id="close_pc">Close (pc)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89763-89785] -->
  +<!-- SECTION [90012-90034] -->
   <h3><a name="discard_pd" id="discard_pd">Discard (pd)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [89786-89810] -->
  +<!-- SECTION [90035-90059] -->
   <h2><a name="add" id="add">Add</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [89811-89827] -->
  +<!-- SECTION [90060-90076] -->
   <h3><a name="component..._i" id="component..._i">Component... (i)</a></h3>
   <div class="level3">
   
  @@ -2594,7 +2607,7 @@
   </p>
   
   </div>
  -<!-- SECTION [89828-91304] -->
  +<!-- SECTION [90077-91553] -->
   <h3><a name="net_n" id="net_n">Net (n)</a></h3>
   <div class="level3">
   
  @@ -2677,7 +2690,7 @@
   </p>
   
   </div>
  -<!-- SECTION [91305-93896] -->
  +<!-- SECTION [91554-94145] -->
   <h3><a name="bus_u" id="bus_u">Bus (u)</a></h3>
   <div class="level3">
   
  @@ -2687,7 +2700,7 @@
   </p>
   
   </div>
  -<!-- SECTION [93897-94146] -->
  +<!-- SECTION [94146-94395] -->
   <h3><a name="attribute..._aa" id="attribute..._aa">Attribute... (aa)</a></h3>
   <div class="level3">
   
  @@ -2718,7 +2731,7 @@
   </p>
   
   </div>
  -<!-- SECTION [94147-95859] -->
  +<!-- SECTION [94396-96108] -->
   <h3><a name="text..._at" id="text..._at">Text... (at)</a></h3>
   <div class="level3">
   
  @@ -2763,7 +2776,7 @@
   </p>
   
   </div>
  -<!-- SECTION [95860-97651] -->
  +<!-- SECTION [96109-97900] -->
   <h3><a name="line_l" id="line_l">Line (l)</a></h3>
   <div class="level3">
   
  @@ -2807,7 +2820,7 @@
   </p>
   
   </div>
  -<!-- SECTION [97652-98738] -->
  +<!-- SECTION [97901-98987] -->
   <h3><a name="box_b" id="box_b">Box (b)</a></h3>
   <div class="level3">
   
  @@ -2837,7 +2850,7 @@
   </p>
   
   </div>
  -<!-- SECTION [98739-99491] -->
  +<!-- SECTION [98988-99740] -->
   <h3><a name="circle_ai" id="circle_ai">Circle (ai)</a></h3>
   <div class="level3">
   
  @@ -2869,7 +2882,7 @@
   </p>
   
   </div>
  -<!-- SECTION [99492-100376] -->
  +<!-- SECTION [99741-100625] -->
   <h3><a name="arc_ar" id="arc_ar">Arc (ar)</a></h3>
   <div class="level3">
   
  @@ -2905,7 +2918,7 @@
   </p>
   
   </div>
  -<!-- SECTION [100377-101469] -->
  +<!-- SECTION [100626-101718] -->
   <h3><a name="pin_ap" id="pin_ap">Pin (ap)</a></h3>
   <div class="level3">
   
  @@ -2938,7 +2951,7 @@
   </p>
   
   </div>
  -<!-- SECTION [101470-102657] -->
  +<!-- SECTION [101719-102906] -->
   <h3><a name="picture..._ag" id="picture..._ag">Picture... (ag)</a></h3>
   <div class="level3">
   
  @@ -2972,12 +2985,12 @@
   </p>
   
   </div>
  -<!-- SECTION [102658-103653] -->
  +<!-- SECTION [102907-103902] -->
   <h2><a name="hierarchy" id="hierarchy">Hierarchy</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [103654-103676] -->
  +<!-- SECTION [103903-103925] -->
   <h3><a name="down_schematic_hd" id="down_schematic_hd">Down Schematic (Hd)</a></h3>
   <div class="level3">
   
  @@ -2999,7 +3012,7 @@
   </p>
   
   </div>
  -<!-- SECTION [103677-105289] -->
  +<!-- SECTION [103926-105538] -->
   <h3><a name="down_symbol_hs" id="down_symbol_hs">Down Symbol (Hs)</a></h3>
   <div class="level3">
   
  @@ -3010,7 +3023,7 @@
   </p>
   
   </div>
  -<!-- SECTION [105290-105612] -->
  +<!-- SECTION [105539-105861] -->
   <h3><a name="up_hu" id="up_hu">Up (Hu)</a></h3>
   <div class="level3">
   
  @@ -3019,7 +3032,7 @@
   </p>
   
   </div>
  -<!-- SECTION [105613-105727] -->
  +<!-- SECTION [105862-105976] -->
   <h3><a name="documentation_ho" id="documentation_ho">Documentation (Ho)</a></h3>
   <div class="level3">
   
  @@ -3034,12 +3047,12 @@
   </p>
   
   </div>
  -<!-- SECTION [105728-106812] -->
  +<!-- SECTION [105977-107061] -->
   <h2><a name="attributes1" id="attributes1">Attributes</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [106813-106836] -->
  +<!-- SECTION [107062-107085] -->
   <h3><a name="attach_ta" id="attach_ta">Attach (ta)</a></h3>
   <div class="level3">
   
  @@ -3062,7 +3075,7 @@
   </p>
   
   </div>
  -<!-- SECTION [106837-107644] -->
  +<!-- SECTION [107086-107893] -->
   <h3><a name="detach_td" id="detach_td">Detach (td)</a></h3>
   <div class="level3">
   
  @@ -3083,7 +3096,7 @@
   </p>
   
   </div>
  -<!-- SECTION [107645-108251] -->
  +<!-- SECTION [107894-108500] -->
   <h3><a name="show_value_tv" id="show_value_tv">Show Value (tv)</a></h3>
   <div class="level3">
   
  @@ -3104,7 +3117,7 @@
   </p>
   
   </div>
  -<!-- SECTION [108252-108790] -->
  +<!-- SECTION [108501-109039] -->
   <h3><a name="show_name_tn" id="show_name_tn">Show Name (tn)</a></h3>
   <div class="level3">
   
  @@ -3125,7 +3138,7 @@
   </p>
   
   </div>
  -<!-- SECTION [108791-109328] -->
  +<!-- SECTION [109040-109577] -->
   <h3><a name="show_both_tb" id="show_both_tb">Show Both (tb)</a></h3>
   <div class="level3">
   
  @@ -3146,7 +3159,7 @@
   </p>
   
   </div>
  -<!-- SECTION [109329-109866] -->
  +<!-- SECTION [109578-110115] -->
   <h3><a name="toggle_visibility_tt" id="toggle_visibility_tt">Toggle Visibility (tt)</a></h3>
   <div class="level3">
   
  @@ -3168,32 +3181,32 @@
   </p>
   
   </div>
  -<!-- SECTION [109867-110562] -->
  +<!-- SECTION [110116-110811] -->
   <h3><a name="find_specific_text..._t_shift-f" id="find_specific_text..._t_shift-f">Find Specific Text... (t shift-f)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [110563-110607] -->
  +<!-- SECTION [110812-110856] -->
   <h3><a name="hide_specific_text..._th" id="hide_specific_text..._th">Hide Specific Text... (th)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [110608-110645] -->
  +<!-- SECTION [110857-110894] -->
   <h3><a name="show_specific_text..._t_shift-h" id="show_specific_text..._t_shift-h">Show Specific Text... (t shift-h)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [110646-110689] -->
  +<!-- SECTION [110895-110938] -->
   <h3><a name="autonumber_text..._tu" id="autonumber_text..._tu">Autonumber Text... (tu)</a></h3>
   <div class="level3">
   
   </div>
  -<!-- SECTION [110690-110724] -->
  +<!-- SECTION [110939-110973] -->
   <h2><a name="options" id="options">Options</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [110725-110745] -->
  +<!-- SECTION [110974-110994] -->
   <h3><a name="text_size..._ot" id="text_size..._ot">Text Size... (ot)</a></h3>
   <div class="level3">
   
  @@ -3203,7 +3216,7 @@
   </p>
   
   </div>
  -<!-- SECTION [110746-111058] -->
  +<!-- SECTION [110995-111307] -->
   <h3><a name="toggle_grid_on_off_og" id="toggle_grid_on_off_og">Toggle Grid On/Off (og)</a></h3>
   <div class="level3">
   
  @@ -3212,7 +3225,7 @@
   </p>
   
   </div>
  -<!-- SECTION [111059-111152] -->
  +<!-- SECTION [111308-111401] -->
   <h3><a name="toggle_snap_on_off_os" id="toggle_snap_on_off_os">Toggle Snap On/Off (os)</a></h3>
   <div class="level3">
   
  @@ -3223,7 +3236,7 @@
   </p>
   
   </div>
  -<!-- SECTION [111153-111604] -->
  +<!-- SECTION [111402-111853] -->
   <h3><a name="snap_grid_spacing..._os" id="snap_grid_spacing..._os">Snap Grid Spacing... (oS)</a></h3>
   <div class="level3">
   
  @@ -3233,7 +3246,7 @@
   </p>
   
   </div>
  -<!-- SECTION [111605-111908] -->
  +<!-- SECTION [111854-112157] -->
   <h3><a name="toggle_outline_box_oa" id="toggle_outline_box_oa">Toggle Outline/Box (oa)</a></h3>
   <div class="level3">
   
  @@ -3242,7 +3255,7 @@
   </p>
   
   </div>
  -<!-- SECTION [111909-112218] -->
  +<!-- SECTION [112158-112467] -->
   <h3><a name="toggle_net_rubberband_or" id="toggle_net_rubberband_or">Toggle Net/Rubberband (or)</a></h3>
   <div class="level3">
   
  @@ -3251,7 +3264,7 @@
   </p>
   
   </div>
  -<!-- SECTION [112219-112302] -->
  +<!-- SECTION [112468-112551] -->
   <h3><a name="show_log_window_ol" id="show_log_window_ol">Show Log Window (ol)</a></h3>
   <div class="level3">
   
  @@ -3260,7 +3273,7 @@
   </p>
   
   </div>
  -<!-- SECTION [112303-112473] -->
  +<!-- SECTION [112552-112722] -->
   <h3><a name="show_coord_window..._oc" id="show_coord_window..._oc">Show Coord Window... (oc)</a></h3>
   <div class="level3">
   
  @@ -3269,12 +3282,12 @@
   </p>
   
   </div>
  -<!-- SECTION [112474-112678] -->
  +<!-- SECTION [112723-112927] -->
   <h2><a name="help" id="help">Help</a></h2>
   <div class="level2">
   
   </div>
  -<!-- SECTION [112679-112696] -->
  +<!-- SECTION [112928-112945] -->
   <h3><a name="about..._ha" id="about..._ha">About... (ha)</a></h3>
   <div class="level3">
   
  @@ -3291,7 +3304,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [112697-112899] -->
  +<!-- SECTION [112946-113148] -->
   <h3><a name="manual..._hm" id="manual..._hm">Manual... (hm)</a></h3>
   <div class="level3">
   
  @@ -3300,7 +3313,7 @@
   </p>
   
   </div>
  -<!-- SECTION [112900-113113] -->
  +<!-- SECTION [113149-113362] -->
   <h3><a name="hotkeys..._hh" id="hotkeys..._hh">Hotkeys... (hh)</a></h3>
   <div class="level3">
   
  @@ -3309,7 +3322,7 @@
   </p>
   
   </div>
  -<!-- SECTION [113114-113241] -->
  +<!-- SECTION [113363-113490] -->
   <h3><a name="component..._ho" id="component..._ho">Component... (Ho)</a></h3>
   <div class="level3">
   
  @@ -3324,7 +3337,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [113242-114024] -->
  +<!-- SECTION [113491-114273] -->
   <h1><a name="appendix_a_--_heavy_vs_light_symbol_libraries" id="appendix_a_--_heavy_vs_light_symbol_libraries">Appendix A -- Heavy vs Light Symbol Libraries</a></h1>
   <div class="level1">
   
  @@ -3333,7 +3346,7 @@
   </p>
   
   </div>
  -<!-- SECTION [114025-114218] -->
  +<!-- SECTION [114274-114467] -->
   <h1><a name="appendix_b_--_printing_schematics_and_symbols" id="appendix_b_--_printing_schematics_and_symbols">Appendix B -- Printing Schematics and Symbols</a></h1>
   <div class="level1">
   
  @@ -3342,7 +3355,7 @@
   </p>
   
   </div>
  -<!-- SECTION [114219-114297] -->
  +<!-- SECTION [114468-114546] -->
   <h1><a name="appendix_c_--_writing_guile_scripts" id="appendix_c_--_writing_guile_scripts">Appendix C -- Writing guile Scripts</a></h1>
   <div class="level1">
   
  @@ -3351,7 +3364,7 @@
   </p>
   
   </div>
  -<!-- SECTION [114298-114366] -->
  +<!-- SECTION [114547-114615] -->
   <h1><a name="appendix_d_--_i_want_to_build_a_printed_circuit_board" id="appendix_d_--_i_want_to_build_a_printed_circuit_board">Appendix D -- I Want To Build A Printed Circuit Board</a></h1>
   <div class="level1">
   
  @@ -3384,7 +3397,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [114367-115156] -->
  +<!-- SECTION [114616-115405] -->
   <h1><a name="appendix_e_--_i_want_to_simulate_my_design" id="appendix_e_--_i_want_to_simulate_my_design">Appendix E -- I Want To Simulate My Design</a></h1>
   <div class="level1">
   
  @@ -3419,7 +3432,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [115157-116036] -->
  +<!-- SECTION [115406-116285] -->
   <h1><a name="appendix_f_--_change_gschemdoc_user-defined_preferences" id="appendix_f_--_change_gschemdoc_user-defined_preferences">Appendix F -- Change gschemdoc User-Defined Preferences</a></h1>
   <div class="level1">
   
  @@ -3447,7 +3460,7 @@
   </p>
   
   </div>
  -<!-- SECTION [116037-117253] -->
  +<!-- SECTION [116286-117502] -->
   <h1><a name="appendix_g_--_breaking_a_large_symbol_into_smaller_symbols" id="appendix_g_--_breaking_a_large_symbol_into_smaller_symbols">Appendix G -- Breaking a Large Symbol Into Smaller Symbols</a></h1>
   <div class="level1">
   
  @@ -3456,7 +3469,7 @@
   </p>
   
   </div>
  -<!-- SECTION [117254-117345] -->
  +<!-- SECTION [117503-117594] -->
   <h1><a name="appendix_h_--_definition_of_terms" id="appendix_h_--_definition_of_terms">Appendix H -- Definition of Terms</a></h1>
   <div class="level1">
   
  @@ -3532,6 +3545,6 @@
   </p>
   
   </div>
  -<!-- SECTION [117346-] --></div>
  +<!-- SECTION [117595-] --></div>
   </body>
   </html>
  
  
  
  1.3       +0 -0      eda/geda/gaf/docs/wiki/geda_icarus_ieee1364.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_ieee1364.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_ieee1364.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_icarus_ieee1364.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_icarus_ieee1364.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -521,10 +521,10 @@
   when viewed by reasonable viewers.
   
   
  -$Id: geda_icarus_ieee1364.html,v 1.2 2006/09/07 01:58:26 ahvezda Exp $
  +$Id: geda_icarus_ieee1364.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
   $Log: geda_icarus_ieee1364.html,v $
  -Revision 1.2  2006/09/07 01:58:26  ahvezda
  -Updated the wiki snapshot to the latest version from the web.
  +Revision 1.3  2006/10/21 03:57:46  ahvezda
  +Updated the wiki documentation from the official wiki on geda.seul.org
   
   Revision 1.17  2003/07/15 03:49:22  steve
    Spelling fixes.
  
  
  
  1.3       +0 -0      eda/geda/gaf/docs/wiki/geda_icarus_mp.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_mp.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_mp.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_icarus_mp.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_icarus_mp.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -22,7 +22,7 @@
   
   <h1><a name="icarus_verilog_compiler_man-page" id="icarus_verilog_compiler_man-page">Icarus Verilog compiler man-page</a></h1>
   <div class="level1">
  -<pre class="code">iverilog(1)		 $Date: 2006/09/07 01:58:26 $		   iverilog(1)
  +<pre class="code">iverilog(1)		 $Date: 2006/10/21 03:57:46 $		   iverilog(1)
   
   
   
  @@ -376,7 +376,7 @@
   
   
   
  -Version			 $Date: 2006/09/07 01:58:26 $		   iverilog(1)</pre>
  +Version			 $Date: 2006/10/21 03:57:46 $		   iverilog(1)</pre>
   
   </div>
   </div>
  
  
  
  1.3       +0 -0      eda/geda/gaf/docs/wiki/geda_icarus_opcodes.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_opcodes.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_opcodes.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_icarus_opcodes.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_icarus_opcodes.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -25,7 +25,7 @@
   <pre class="code">/*
    * Copyright (c) 2001-2003 Stephen Williams (steve@xxxxxxxxxx)
    *
  - *  $Id: geda_icarus_opcodes.html,v 1.2 2006/09/07 01:58:26 ahvezda Exp $
  + *  $Id: geda_icarus_opcodes.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
    */
   
   
  
  
  
  1.3       +0 -0      eda/geda/gaf/docs/wiki/geda_icarus_vpi_mp.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_vpi_mp.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_vpi_mp.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_icarus_vpi_mp.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_icarus_vpi_mp.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -22,7 +22,7 @@
   
   <h1><a name="compile_front_end_for_vpi_modules_man-page" id="compile_front_end_for_vpi_modules_man-page">Compile front end for VPI modules man-page</a></h1>
   <div class="level1">
  -<pre class="code">iverilog-vpi(1)		 $Date: 2006/09/07 01:58:26 $	       iverilog-vpi(1)
  +<pre class="code">iverilog-vpi(1)		 $Date: 2006/10/21 03:57:46 $	       iverilog-vpi(1)
   
   
   
  @@ -142,7 +142,7 @@
   
   
   
  -Version			 $Date: 2006/09/07 01:58:26 $	       iverilog-vpi(1)</pre>
  +Version			 $Date: 2006/10/21 03:57:46 $	       iverilog-vpi(1)</pre>
   
   </div>
   </div>
  
  
  
  1.3       +0 -0      eda/geda/gaf/docs/wiki/geda_icarus_vpi_within_vvp.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_vpi_within_vvp.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_vpi_within_vvp.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_icarus_vpi_within_vvp.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_icarus_vpi_within_vvp.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -25,7 +25,7 @@
   <pre class="code">/*
    * Copyright (c) 2001 Stephen Williams (steve@xxxxxxxxxx)
    *
  - *  $Id: geda_icarus_vpi_within_vvp.html,v 1.2 2006/09/07 01:58:26 ahvezda Exp $
  + *  $Id: geda_icarus_vpi_within_vvp.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
    */
   
   
  
  
  
  1.3       +0 -0      eda/geda/gaf/docs/wiki/geda_icarus_vvp_runtime.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_vvp_runtime.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_vvp_runtime.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_icarus_vvp_runtime.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_icarus_vvp_runtime.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -22,7 +22,7 @@
   
   <h1><a name="icarus_verilog_vvp_runtime_engine_man-page" id="icarus_verilog_vvp_runtime_engine_man-page">Icarus Verilog vvp runtime engine man-page</a></h1>
   <div class="level1">
  -<pre class="code">vvp(1)			 $Date: 2006/09/07 01:58:26 $			vvp(1)
  +<pre class="code">vvp(1)			 $Date: 2006/10/21 03:57:46 $			vvp(1)
   
   
   
  @@ -166,7 +166,7 @@
   
   
   
  -Version			 $Date: 2006/09/07 01:58:26 $			vvp(1)</pre>
  +Version			 $Date: 2006/10/21 03:57:46 $			vvp(1)</pre>
   
   </div>
   </div>
  
  
  
  1.3       +0 -0      eda/geda/gaf/docs/wiki/geda_icarus_vvp_simulation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_vvp_simulation.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_vvp_simulation.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_icarus_vvp_simulation.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_icarus_vvp_simulation.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -25,7 +25,7 @@
   <pre class="code">/*
    * Copyright (c) 2001 Stephen Williams (steve@xxxxxxxxxx)
    *
  - *  $Id: geda_icarus_vvp_simulation.html,v 1.2 2006/09/07 01:58:26 ahvezda Exp $
  + *  $Id: geda_icarus_vvp_simulation.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
    */
   
   VVP SIMULATION ENGINE
  
  
  
  1.3       +0 -0      eda/geda/gaf/docs/wiki/geda_icarus_xnf.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_icarus_xnf.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_icarus_xnf.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_icarus_xnf.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_icarus_xnf.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -268,8 +268,8 @@
   
   
    $Log: geda_icarus_xnf.html,v $
  - Revision 1.2  2006/09/07 01:58:26  ahvezda
  - Updated the wiki snapshot to the latest version from the web.
  + Revision 1.3  2006/10/21 03:57:46  ahvezda
  + Updated the wiki documentation from the official wiki on geda.seul.org
   
    Revision 1.16  2003/07/15 03:49:22  steve
     Spelling fixes.
  
  
  
  1.3       +0 -0      eda/geda/gaf/docs/wiki/geda_igarus_fpga_lcg.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_igarus_fpga_lcg.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_igarus_fpga_lcg.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_igarus_fpga_lcg.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_igarus_fpga_lcg.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -25,7 +25,7 @@
   <pre class="code">FPGA LOADABLE CODE GENERATOR FOR Icarus Verilog
   
     Copyright 2001 Stephen Williams
  -  $Id: geda_igarus_fpga_lcg.html,v 1.2 2006/09/07 01:58:26 ahvezda Exp $
  +  $Id: geda_igarus_fpga_lcg.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
   
   The FPGA code generator supports a variety of FPGA devices, writing
   XNF or EDIF depending on the target. You can select the architecture
  @@ -211,8 +211,8 @@
   
   ---
   $Log: geda_igarus_fpga_lcg.html,v $
  -Revision 1.2  2006/09/07 01:58:26  ahvezda
  -Updated the wiki snapshot to the latest version from the web.
  +Revision 1.3  2006/10/21 03:57:46  ahvezda
  +Updated the wiki documentation from the official wiki on geda.seul.org
   
   Revision 1.12  2005/09/19 21:45:36  steve
    Spelling patches from Larry.
  
  
  
  1.3       +12 -2     eda/geda/gaf/docs/wiki/geda_installation.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_installation.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_installation.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_installation.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_installation.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:installation?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:installation?do=export_raw"; />
  -  <meta name="date" content="2006-08-29T06:52:43-0400" />
  +  <meta name="date" content="2006-10-03T19:53:01-0400" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -40,6 +40,7 @@
   <li class="level2"><div class="li"><span class="li"><a href="#suse_10.0_install_notes" class="toc">Suse 10.0 install notes</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#suse_10.1_install_notes" class="toc">Suse 10.1 install notes</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#debian_install_notes" class="toc">Debian install notes</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#ubuntu_install_notes" class="toc">Ubuntu install notes</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#windows_install_notes" class="toc">Windows install notes</a></span></div></li></ul>
   </li></ul>
   </div>
  @@ -263,6 +264,15 @@
   
   </div>
   <!-- SECTION [9279-9356] -->
  +<h2><a name="ubuntu_install_notes" id="ubuntu_install_notes">Ubuntu install notes</a></h2>
  +<div class="level2">
  +
  +<p>
  +<a href="geda_ubuntu.html" class="wikilink1" title="geda:ubuntu">Ubuntu notes available here</a>
  +</p>
  +
  +</div>
  +<!-- SECTION [9357-9435] -->
   <h2><a name="windows_install_notes" id="windows_install_notes">Windows install notes</a></h2>
   <div class="level2">
   
  @@ -271,6 +281,6 @@
   </p>
   
   </div>
  -<!-- SECTION [9357-] --></div>
  +<!-- SECTION [9436-] --></div>
   </body>
   </html>
  
  
  
  1.3       +0 -0      eda/geda/gaf/docs/wiki/geda_mcalc_readme.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_mcalc_readme.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_mcalc_readme.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_mcalc_readme.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_mcalc_readme.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -22,7 +22,7 @@
   
   <h1><a name="mcalc_readme" id="mcalc_readme">mcalc README</a></h1>
   <div class="level1">
  -<pre class="code">$Id: geda_mcalc_readme.html,v 1.2 2006/09/07 01:58:26 ahvezda Exp $
  +<pre class="code">$Id: geda_mcalc_readme.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
   
   WHAT IS IT?
   ------------
  
  
  
  1.3       +42 -24    eda/geda/gaf/docs/wiki/geda_pcb-quick_reference.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_pcb-quick_reference.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_pcb-quick_reference.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_pcb-quick_reference.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_pcb-quick_reference.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -12,8 +12,8 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:pcb-quick_reference?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:pcb-quick_reference?do=export_raw"; />
  -  <meta name="date" content="2006-09-06T15:43:12-0400" />
  -  <meta name="robots" content="noindex,nofollow" />
  +  <meta name="date" content="2006-09-21T19:02:07-0400" />
  +  <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
   </head>
  @@ -62,10 +62,13 @@
   		<th class="centeralign">  KEY		</th><th class="leftalign"> MNEMONIC	</th><th class="centeralign">  DESCRIPTION  </th>
   	</tr>
   	<tr>
  -		<td class="centeralign">  space	</td><td class="leftalign"> select	</td><td class="leftalign"> enter select mode  </td>
  +		<td class="centeralign">  esc		</td><td class="leftalign"> panner	</td><td> enter panning mode </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  insert	</td><td class="leftalign"> insert point	</td><td> enter insert point mode. Click and drag on track to insert a point </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  esc		</td><td class="leftalign"> panner	</td><td class="leftalign"> enter panning mode  </td>
  +		<td class="centeralign">  space	</td><td class="leftalign"> select	</td><td class="leftalign"> enter select mode  </td>
   	</tr>
   	<tr>
   		<td class="centeralign">  tab		</td><td class="leftalign"> flip view	</td><td class="leftalign"> view: flip board  </td>
  @@ -128,6 +131,12 @@
   		<td class="centeralign">  shift-k  	</td><td class="leftalign"> klear (-)  	</td><td class="leftalign"> decrement clearance (soldermask to copper edge (NOTE: can go negative!))  </td>
   	</tr>
   	<tr>
  +		<td class="centeralign">  l  		</td><td class="leftalign"> increase line	</td><td class="leftalign"> increment width of the line tool, adds to the settings of the current line style  </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-l  	</td><td class="leftalign"> decrease line	</td><td> reduce the current linewidth </td>
  +	</tr>
  +	<tr>
   		<td class="centeralign">  m  		</td><td class="leftalign"> move to layer	</td><td class="leftalign"> move the object under the cursor to the current layer  </td>
   	</tr>
   	<tr>
  @@ -137,7 +146,16 @@
   		<td class="centeralign">  ctrl-m	</td><td class="leftalign"> move origin	</td><td> set the origin to the current position of the mouse pointer </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  n		</td><td class="leftalign"> name		</td><td class="leftalign"> show object refdes / pin number (depends on whatâ??s selected)  </td>
  +		<td class="centeralign">  n		</td><td class="leftalign"> name		</td><td> show object refdes / pin number at mouse pointer </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-n	</td><td class="leftalign"> next		</td><td> Select the shortest unselected rat on the board </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  o		</td><td class="leftalign"> optimize rats	</td><td> optimize and redraw all rats </td>
  +	</tr>
  +	<tr>
  +		<td class="centeralign">  shift-o	</td><td class="leftalign"> optimize some rats	</td><td> optimize and redraw selected nets only </td>
   	</tr>
   	<tr>
   		<td class="centeralign">  shift-p	</td><td class="leftalign"> polygon close	</td><td class="leftalign"> connect the first point of a polygon with the last  </td>
  @@ -176,46 +194,46 @@
   		<td class="centeralign">  shift-z	</td><td class="leftalign"> un-zoom	</td><td class="leftalign"> view: zoom out  </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  F1		</td><td class="leftalign"> via		</td><td> via tool </td>
  +		<td class="centeralign">  F1		</td><td class="leftalign"> via		</td><td> enter via tool </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  F2		</td><td class="leftalign"> line		</td><td class="leftalign"> line tool  </td>
  +		<td class="centeralign">  F2		</td><td class="leftalign"> line		</td><td class="leftalign"> enter line tool  </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  F3		</td><td class="leftalign"> arc		</td><td> arc tool </td>
  +		<td class="centeralign">  F3		</td><td class="leftalign"> arc		</td><td> enter arc tool </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  F4		</td><td class="leftalign"> text		</td><td> text tool </td>
  +		<td class="centeralign">  F4		</td><td class="leftalign"> text		</td><td> enter text tool </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  F5		</td><td class="leftalign"> rectangle	</td><td> rectangle tool</td>
  +		<td class="centeralign">  F5		</td><td class="leftalign"> rectangle	</td><td> enter rectangle tool</td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  F6		</td><td class="leftalign"> polygon	</td><td> polygon tool </td>
  +		<td class="centeralign">  F6		</td><td class="leftalign"> polygon	</td><td> enter polygon tool </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  F7		</td><td class="leftalign"> buffer	</td><td> buffer mode </td>
  +		<td class="centeralign">  F7		</td><td class="leftalign"> buffer	</td><td> enter buffer mode </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  F8		</td><td class="leftalign"> delete	</td><td> remove mode </td>
  +		<td class="centeralign">  F8		</td><td class="leftalign"> delete	</td><td> enter remove mode </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  F9		</td><td class="leftalign"> rotate	</td><td class="leftalign"> rotate mode  </td>
  +		<td class="centeralign">  F9		</td><td class="leftalign"> rotate	</td><td class="leftalign"> enter rotate mode  </td>
   	</tr>
   	<tr>
   		<td class="centeralign">  F10		</td><td class="leftalign"> file menu	</td><td> file menu </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  F11		</td><td class="leftalign"> select	</td><td class="leftalign"> select mode (same as [space])  </td>
  +		<td class="centeralign">  F11		</td><td class="leftalign"> select	</td><td class="leftalign"> enter select mode (same as [space])  </td>
   	</tr>
   	<tr>
  -		<td class="centeralign">  F12		</td><td class="rightalign"> 		</td><td class="rightalign">  </td>
  +		<td class="centeralign">  F12		</td><td class="leftalign"> lock		</td><td> enter lock mode </td>
   	</tr>
   </table>
   <br />
   
   </div>
  -<!-- SECTION [61-3017] -->
  +<!-- SECTION [61-3549] -->
   <h2><a name="viewport_keystrokes" id="viewport_keystrokes">Viewport Keystrokes</a></h2>
   <div class="level2">
   <table class="inline">
  @@ -250,7 +268,7 @@
   <br />
   
   </div>
  -<!-- SECTION [3018-3391] -->
  +<!-- SECTION [3550-3923] -->
   <h1><a name="footprint_reference" id="footprint_reference">Footprint Reference</a></h1>
   <div class="level1">
   
  @@ -259,7 +277,7 @@
   </p>
   
   </div>
  -<!-- SECTION [3392-3685] -->
  +<!-- SECTION [3924-4217] -->
   <h2><a name="general_syntax" id="general_syntax">General syntax</a></h2>
   <div class="level2">
   
  @@ -282,7 +300,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [3686-4298] -->
  +<!-- SECTION [4218-4830] -->
   <h2><a name="elements" id="elements">Elements</a></h2>
   <div class="level2">
   
  @@ -330,7 +348,7 @@
   <br />
   
   </div>
  -<!-- SECTION [4299-5126] -->
  +<!-- SECTION [4831-5658] -->
   <h2><a name="pads" id="pads">Pads</a></h2>
   <div class="level2">
   
  @@ -375,7 +393,7 @@
   <br />
   
   </div>
  -<!-- SECTION [5127-6031] -->
  +<!-- SECTION [5659-6563] -->
   <h2><a name="pins" id="pins">Pins</a></h2>
   <div class="level2">
   
  @@ -417,7 +435,7 @@
   <br />
   
   </div>
  -<!-- SECTION [6032-6730] -->
  +<!-- SECTION [6564-7262] -->
   <h2><a name="examples" id="examples">Examples</a></h2>
   <div class="level2">
   <ul>
  @@ -436,6 +454,6 @@
   </ul>
   
   </div>
  -<!-- SECTION [6731-] --></div>
  +<!-- SECTION [7263-] --></div>
   </body>
   </html>
  
  
  
  1.3       +117 -54   eda/geda/gaf/docs/wiki/geda_pcb_tips.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_pcb_tips.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_pcb_tips.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_pcb_tips.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_pcb_tips.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:pcb_tips?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:pcb_tips?do=export_raw"; />
  -  <meta name="date" content="2006-08-30T14:30:43-0400" />
  +  <meta name="date" content="2006-10-12T20:13:10-0400" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -68,10 +68,12 @@
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_change_the_size_of_my_tracks" class="toc">How do I change the size of my tracks?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_drive_a_via_to_connect_a_track_to_a_ground_plane_on_a_different_layer" class="toc">How do I drive a via to connect a track to a ground plane on a different layer?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#i_want_to_draw_a_track_between_two_segments_on_the_same_net_but_pcb_won_t_let_me_why" class="toc">I want to draw a track between two segments on the same net, but PCB won't let me! Why?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_can_i_set_color_and_thickness_of_the_rats_nests" class="toc">How can I set color and thickness of the rats nests?</a></span></div></li>
   </ul>
   </li>
   <li class="level1"><div class="li"><span class="li"><a href="#beyond_tracks_and_footprints" class="toc">Beyond tracks and footprints</a></span></div>
   <ul class="toc">
  +<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_trace_a_drawing_a_print_or_another_pcb" class="toc">How do I trace a drawing, a print, or another PCB?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#i_can_t_copy_component_pads_in_a_layout._what_gives" class="toc">I can't copy component pads in a layout.  What gives?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_fill_areas_with_copper" class="toc">How do I fill areas with copper?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#the_polygons_are_shorting_my_tracks_what_can_i_do_about_it" class="toc">The polygons are shorting my tracks! What can I do about it?</a></span></div></li>
  @@ -85,6 +87,7 @@
   <li class="level2"><div class="li"><span class="li"><a href="#can_pcb_be_used_to_make_single_layer_boards" class="toc">Can PCB be used to make single layer boards?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#what_resources_exist_to_process_pcb_files_using_scripts" class="toc">What resources exist to process PCB files using scripts?</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#how_do_i_import_external_vector_graphics" class="toc">How do I import external vector graphics?</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#how_do_i_attach_a_name_to_my_layout" class="toc">How Do I attach a name to my layout?</a></span></div></li>
   </ul>
   </li>
   <li class="level1"><div class="li"><span class="li"><a href="#auto_router" class="toc">Auto Router</a></span></div>
  @@ -115,7 +118,7 @@
   <div class="level2">
   
   <p>
  -The <a href="http://pcb.sourceforge.net/manual.html"; class="urlextern" title="http://pcb.sourceforge.net/manual.html";  rel="nofollow">pcb manual</a> contains a concise description of the user interface in the section â??Getting Startedâ??(<a href="http://pcb.sourceforge.net/pcb-20060422/pcb.html#Getting%20Started"; class="urlextern" title="http://pcb.sourceforge.net/pcb-20060422/pcb.html#Getting%20Started";  rel="nofollow">version 20060422</a>)
  +The <a href="http://pcb.sourceforge.net/manual.html"; class="urlextern" title="http://pcb.sourceforge.net/manual.html";  rel="nofollow">pcb manual</a> contains a concise description of the user interface in the section â??Getting Startedâ??(<a href="http://pcb.sourceforge.net/pcb-20060822/pcb.html#Getting%20Started"; class="urlextern" title="http://pcb.sourceforge.net/pcb-20060822/pcb.html#Getting%20Started";  rel="nofollow">version 20060822</a>)
   </p>
   
   </div>
  @@ -183,7 +186,7 @@
   <div class="level2">
   
   <p>
  -This question is a common one amongst new gEDA users. Indeed, helping newbies determine the appropriate footprint names lies at the core of the ongoing <a href="http://geda.seul.org/wiki/geda:faq-gschem#what_s_this_business_about_heavy_vs._light_symbols"; class="wikilink1" title="geda:faq-gschem">light vs. heavy symbol</a> debate. In the current, light symbol gEDA/gaf distribution, you need to attach the footprint attribute at the schematic level (i.e. using either gschem or gattrib). The name of the footprint to use depends upon whether you are using the newlib or the M4 library (pcblib).
  +This question is a common one amongst new gEDA users. Indeed, helping newbies determine the appropriate footprint names lies at the core of the ongoing <a href="geda_faq-gschem.html" class="wikilink1" title="geda:faq-gschem">light vs. heavy symbol</a> debate. In the current, light symbol gEDA/gaf distribution, you need to attach the footprint attribute at the schematic level (i.e. using either gschem or gattrib). The name of the footprint to use depends upon whether you are using the newlib or the M4 library (pcblib).
   </p>
   
   </div>
  @@ -280,8 +283,12 @@
   Karel Kulhavy prefers to draw the footprint entirely using PCB, which might be the most non-threatening method for newbies to use. He maintains a <a href="http://ronja.twibright.com/guidelines/footprints.php"; class="urlextern" title="http://ronja.twibright.com/guidelines/footprints.php";  rel="nofollow">HOWTO describing his footprint creation prodedure</a> on his Ronja website.
   </p>
   
  +<p>
  +If you want to edit the footprint files by hand, the <a href="http://www.brorson.com/gEDA/land_patterns_20050129.pdf"; class="urlextern" title="http://www.brorson.com/gEDA/land_patterns_20050129.pdf";  rel="nofollow">manual on footprint creation</a> by Stephen Meier and Stuart Brorson supplies the details of the syntax. The document also gives advice on good design that applies to <acronym title="Graphical User Interface">GUI</acronym> footprint creation too. 
  +</p>
  +
   </div>
  -<!-- SECTION [9566-10154] -->
  +<!-- SECTION [9566-10459] -->
   <h2><a name="how_do_i_edit_change_an_existing_footprint" id="how_do_i_edit_change_an_existing_footprint">How do I edit/change an existing footprint?</a></h2>
   <div class="level2">
   <ol>
  @@ -308,7 +315,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [10155-10480] -->
  +<!-- SECTION [10460-10785] -->
   <h2><a name="how_do_i_add_a_footprint_library_to_pcb" id="how_do_i_add_a_footprint_library_to_pcb">How do I add a footprint library to PCB?</a></h2>
   <div class="level2">
   
  @@ -319,7 +326,7 @@
   </p>
   
   </div>
  -<!-- SECTION [10481-10913] -->
  +<!-- SECTION [10786-11218] -->
   <h2><a name="pcb_is_not_finding_my_footprints._why" id="pcb_is_not_finding_my_footprints._why">PCB is not finding my footprints. Why?</a></h2>
   <div class="level2">
   
  @@ -328,12 +335,12 @@
   </p>
   
   </div>
  -<!-- SECTION [10914-11261] -->
  +<!-- SECTION [11219-11566] -->
   <h2><a name="now_that_i_have_all_of_these_footprints_where_do_i_put_them" id="now_that_i_have_all_of_these_footprints_where_do_i_put_them">Now that I have all of these footprints where do I put them?</a></h2>
   <div class="level2">
   
   <p>
  -I prefer to place all â??production-readyâ?? footprints in a single directory that is not in the gEDA/PCB install tree. When a new version of gEDA/PCB comes out I do not make any changes to project files or libraries. If there are newlib footprints in the PCB library that I want to use I copy them to the â??production-readyâ?? footprint directory.
  +I prefer to place all â??production-readyâ?? footprints in a single directory that is not in the gEDA/PCB install tree. When a new version of gEDA/PCB comes out I do not make any changes to project files or libraries. If there are newlib footprints in the PCB library that I want to use I copy them to the �����production-readyâ?? footprint directory.
   </p>
   
   <p>
  @@ -343,16 +350,27 @@
   <p>
   To use the <strong><code>sch2pcb</code></strong> script that is listed below replace the string <strong><code>FOOTPRINT_DIR</code></strong> with your footprint directory: 
   </p>
  -<pre class="code">#!/bin/bash
  -gsch2pcb �������������elements-dir FOOTPRINT_DIR $@</pre>
  +<pre class="code">
  +#!/bin/bash
  +gsch2pcb --elements-dir FOOTPRINT_DIR $@
  +</pre>
  +
  +<p>
  +Or another user&rsquo;s version: 
  +</p>
  +<pre class="code">
  +#!/bin/bash
  +#this script was written by John Luciani
  +gsch2pcb --elements-dir /home/user/pcb/footprints/user --use-files $@
  +</pre>
   
   </div>
  -<!-- SECTION [11262-12151] -->
  +<!-- SECTION [11567-12599] -->
   <h1><a name="component_placement" id="component_placement">Component placement</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [12152-12186] -->
  +<!-- SECTION [12600-12634] -->
   <h2><a name="how_do_i_rotate_a_selection_i.e._of_more_than_one_item" id="how_do_i_rotate_a_selection_i.e._of_more_than_one_item">How do I rotate a selection (i.e. of more than one item)?</a></h2>
   <div class="level2">
   <ol>
  @@ -367,7 +385,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [12187-12446] -->
  +<!-- SECTION [12635-12894] -->
   <h2><a name="how_do_i_change_the_size_of_a_graphical_object_such_as_text_silkscreen_lines_etc" id="how_do_i_change_the_size_of_a_graphical_object_such_as_text_silkscreen_lines_etc">How do I change the size of a graphical object (such as text, silkscreen lines, etc)?</a></h2>
   <div class="level2">
   <ul>
  @@ -382,7 +400,7 @@
   </p>
   
   </div>
  -<!-- SECTION [12447-12896] -->
  +<!-- SECTION [12895-13344] -->
   <h2><a name="how_do_i_put_components_on_both_faces_in_pcb" id="how_do_i_put_components_on_both_faces_in_pcb">How do I put components on both faces in PCB?</a></h2>
   <div class="level2">
   
  @@ -397,7 +415,7 @@
   </ul>
   
   </div>
  -<!-- SECTION [12897-13365] -->
  +<!-- SECTION [13345-13813] -->
   <h2><a name="how_do_i_set_the_origin_in_pcb" id="how_do_i_set_the_origin_in_pcb">How do I set the origin in pcb?</a></h2>
   <div class="level2">
   
  @@ -406,7 +424,7 @@
   </p>
   
   </div>
  -<!-- SECTION [13366-13980] -->
  +<!-- SECTION [13814-14428] -->
   <h2><a name="how_do_i_measure_distances_and_dimensions_of_components" id="how_do_i_measure_distances_and_dimensions_of_components">How do I measure distances and dimensions of components?</a></h2>
   <div class="level2">
   
  @@ -415,12 +433,12 @@
   </p>
   
   </div>
  -<!-- SECTION [13981-14360] -->
  +<!-- SECTION [14429-14808] -->
   <h1><a name="routing" id="routing">Routing</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [14361-14382] -->
  +<!-- SECTION [14809-14830] -->
   <h2><a name="how_do_i_route_a_connection_from_solder_to_component_side_and_back" id="how_do_i_route_a_connection_from_solder_to_component_side_and_back">How do I route a connection from solder to component side and back?</a></h2>
   <div class="level2">
   
  @@ -429,28 +447,30 @@
   </p>
   
   </div>
  -<!-- SECTION [14383-14632] -->
  +<!-- SECTION [14831-15080] -->
   <h2><a name="how_do_i_change_the_routing_style" id="how_do_i_change_the_routing_style">How do I change the routing style?</a></h2>
   <div class="level2">
   
   <p>
  -There is a set of predefined sizes for routing. The sets bear suggestive names (Signal, Power, Fat and Skinny), but the actual value of the sizes can be configured to your needs. The line tool knows about different styles to deal with transversal connections:
  +There is a set of predefined sizes for routing. The sets bear suggestive names (Signal, Power, Fat and Skinny). Hit the button â??Route Styleâ?? to configure the sizes of the current set to your needs. The line tool knows about different modes to deal with transversal connections. The status line on the bottom of the page tells, which mode is in effect:
   </p>
   <ol>
   <li class="level1"><div class="li"> 45° plus vertical/horizontal (status line: â??\_â??)</div>
   </li>
   <li class="level1"><div class="li"> vertical plus 45° (status line: â??_/â??)</div>
   </li>
  +<li class="level1"><div class="li"> either vertical or 45° (status line: â??45â??)</div>
  +</li>
   <li class="level1"><div class="li"> arbitrary angle (status line: â??allâ??)</div>
   </li>
   </ol>
   
   <p>
  - The way to access these modes differs among the pcb <acronym title="Graphical User Interface">GUI</acronym> versions. The current GTK snapshot (v20050609) is hard wired to â??_/â?? but can be temporarily turned to â??\_â?? with the shift key. For arbitrary angles, choose â??enable all line directionsâ?? in the setting menu.
  +The way to access these modes differs among the <acronym title="Graphical User Interface">GUI</acronym> versions. The current GTK snapshot (v20060288) defaults to â??_/â?? but can be temporarily turned to â??\_â?? with the shift key. You can switch to 45° mode with the slash key â??/â??. For arbitrary angles, press the period key â??.���, or choose â??enable all line directionsâ?? in the setting menu.
   </p>
   
   </div>
  -<!-- SECTION [14633-15365] -->
  +<!-- SECTION [15081-16046] -->
   <h2><a name="i_got_stuck_how_do_i_go_back" id="i_got_stuck_how_do_i_go_back">I got stuck! How do I go back?</a></h2>
   <div class="level2">
   
  @@ -459,7 +479,7 @@
   </p>
   
   </div>
  -<!-- SECTION [15366-15638] -->
  +<!-- SECTION [16047-16319] -->
   <h2><a name="how_do_i_move_one_set_of_layer_tracks_to_another_layer" id="how_do_i_move_one_set_of_layer_tracks_to_another_layer">How do I move one set of layer tracks to another layer?</a></h2>
   <div class="level2">
   <ol>
  @@ -472,7 +492,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [15639-16075] -->
  +<!-- SECTION [16320-16756] -->
   <h2><a name="how_do_i_change_the_soldermask_clearance_around_a_hole_via" id="how_do_i_change_the_soldermask_clearance_around_a_hole_via">How do I change the soldermask clearance around a hole/via?</a></h2>
   <div class="level2">
   
  @@ -481,7 +501,7 @@
   </p>
   
   </div>
  -<!-- SECTION [16076-16351] -->
  +<!-- SECTION [16757-17032] -->
   <h2><a name="how_do_i_change_the_size_of_my_tracks" id="how_do_i_change_the_size_of_my_tracks">How do I change the size of my tracks?</a></h2>
   <div class="level2">
   
  @@ -498,7 +518,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [16352-17289] -->
  +<!-- SECTION [17033-17970] -->
   <h2><a name="how_do_i_drive_a_via_to_connect_a_track_to_a_ground_plane_on_a_different_layer" id="how_do_i_drive_a_via_to_connect_a_track_to_a_ground_plane_on_a_different_layer">How do I drive a via to connect a track to a ground plane on a different layer?</a></h2>
   <div class="level2">
   <ol>
  @@ -521,7 +541,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [17290-17775] -->
  +<!-- SECTION [17971-18456] -->
   <h2><a name="i_want_to_draw_a_track_between_two_segments_on_the_same_net_but_pcb_won_t_let_me_why" id="i_want_to_draw_a_track_between_two_segments_on_the_same_net_but_pcb_won_t_let_me_why">I want to draw a track between two segments on the same net, but PCB won't let me! Why?</a></h2>
   <div class="level2">
   
  @@ -540,12 +560,42 @@
   </ul>
   
   </div>
  -<!-- SECTION [17776-19094] -->
  +<!-- SECTION [18457-19775] -->
  +<h2><a name="how_can_i_set_color_and_thickness_of_the_rats_nests" id="how_can_i_set_color_and_thickness_of_the_rats_nests">How can I set color and thickness of the rats nests?</a></h2>
  +<div class="level2">
  +
  +<p>
  +You can set the color of the rats in <code>File - Prefernce - Colors - Main colors</code>
  +</p>
  +
  +<p>
  +There is currently no <acronym title="Graphical User Interface">GUI</acronym> way to set the rat width, but you can edit your <code>$HOME/.pcb/preference</code> file manually. Close all instances of pcb and look for the line that starts with <code>rat-thickness</code>.   
  +</p>
  +
  +</div>
  +<!-- SECTION [19776-20126] -->
   <h1><a name="beyond_tracks_and_footprints" id="beyond_tracks_and_footprints">Beyond tracks and footprints</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [19095-19137] -->
  +<!-- SECTION [20127-20169] -->
  +<h2><a name="how_do_i_trace_a_drawing_a_print_or_another_pcb" id="how_do_i_trace_a_drawing_a_print_or_another_pcb">How do I trace a drawing, a print, or another PCB?</a></h2>
  +<div class="level2">
  +
  +<p>
  +See the page <a href="http://www.delorie.com/pcb/bg-image.html"; class="urlextern" title="http://www.delorie.com/pcb/bg-image.html";  rel="nofollow">http://www.delorie.com/pcb/bg-image.html</a> at DJ Delorie&rsquo;s PCB HID website.  
  +</p>
  +
  +<p>
  +This is a great way to trace hand-drawn artwork or another PCB, say one you made in software with a proprietary format, which you&rsquo;d now like to &lsquo;unlock&rsquo;.  Furthermore, you can use the background image as tool for making board revisions or redesigns.
  +</p>
  +
  +<p>
  +If you don&rsquo;t like to use PCB confined to the area of the board, i.e. if you want margins around your board, then add them in the GIMP.  I like to make a 1.00000 inch margin around the board.  When you set your PCB size in PCB, you&rsquo;ll want to add the margin area.  CTRL-M will help you verify the scaling.  Also, the time to correct distortions from your scanner, or from your drawing is before you load it, in the GIMP or the like.
  +</p>
  +
  +</div>
  +<!-- SECTION [20170-21010] -->
   <h2><a name="i_can_t_copy_component_pads_in_a_layout._what_gives" id="i_can_t_copy_component_pads_in_a_layout._what_gives">I can't copy component pads in a layout.  What gives?</a></h2>
   <div class="level2">
   
  @@ -570,7 +620,7 @@
   </p>
   
   </div>
  -<!-- SECTION [19138-19793] -->
  +<!-- SECTION [21011-21666] -->
   <h2><a name="how_do_i_fill_areas_with_copper" id="how_do_i_fill_areas_with_copper">How do I fill areas with copper?</a></h2>
   <div class="level2">
   
  @@ -579,7 +629,7 @@
   </p>
   
   </div>
  -<!-- SECTION [19794-20041] -->
  +<!-- SECTION [21667-21914] -->
   <h2><a name="the_polygons_are_shorting_my_tracks_what_can_i_do_about_it" id="the_polygons_are_shorting_my_tracks_what_can_i_do_about_it">The polygons are shorting my tracks! What can I do about it?</a></h2>
   <div class="level2">
   
  @@ -588,7 +638,7 @@
   </p>
   
   </div>
  -<!-- SECTION [20042-20411] -->
  +<!-- SECTION [21915-22284] -->
   <h2><a name="how_do_i_change_polygon_clearance" id="how_do_i_change_polygon_clearance">How do I change polygon clearance?</a></h2>
   <div class="level2">
   
  @@ -601,7 +651,7 @@
   </p>
   
   </div>
  -<!-- SECTION [20412-20912] -->
  +<!-- SECTION [22285-22785] -->
   <h2><a name="how_do_i_hide_the_polygons_while_i_edit_the_layout" id="how_do_i_hide_the_polygons_while_i_edit_the_layout">How do I hide the polygons while I edit the layout?</a></h2>
   <div class="level2">
   
  @@ -610,7 +660,7 @@
   </p>
   
   </div>
  -<!-- SECTION [20913-21321] -->
  +<!-- SECTION [22786-23194] -->
   <h2><a name="how_do_i_edit_polygons" id="how_do_i_edit_polygons">How do I edit polygons?</a></h2>
   <div class="level2">
   
  @@ -623,7 +673,7 @@
   </p>
   
   </div>
  -<!-- SECTION [21322-22507] -->
  +<!-- SECTION [23195-24380] -->
   <h2><a name="how_do_i_place_vias_that_connect_to_a_polygon_for_full_thermal_dissipation_or_full_shielding_integrity" id="how_do_i_place_vias_that_connect_to_a_polygon_for_full_thermal_dissipation_or_full_shielding_integrity">How do I place vias that connect to a polygon for full thermal dissipation or full shielding integrity?</a></h2>
   <div class="level2">
   
  @@ -652,7 +702,7 @@
   </p>
   
   </div>
  -<!-- SECTION [22508-24437] -->
  +<!-- SECTION [24381-26310] -->
   <h2><a name="can_polygons_be_un-masked_can_a_polygon_be_made_bare-copper_with_no_solder_mask" id="can_polygons_be_un-masked_can_a_polygon_be_made_bare-copper_with_no_solder_mask">Can polygons be un-masked? (Can a polygon be made bare-copper with no solder mask?)</a></h2>
   <div class="level2">
   
  @@ -661,7 +711,7 @@
   </p>
   
   </div>
  -<!-- SECTION [24438-24995] -->
  +<!-- SECTION [26311-26868] -->
   <h2><a name="how_do_i_place_mounting_holes" id="how_do_i_place_mounting_holes">How do I place mounting holes?</a></h2>
   <div class="level2">
   
  @@ -682,7 +732,7 @@
   </p>
   
   </div>
  -<!-- SECTION [24996-25660] -->
  +<!-- SECTION [26869-27533] -->
   <h2><a name="why_is_it_possible_to_make_a_thermal_for_pin_but_not_for_a_pad" id="why_is_it_possible_to_make_a_thermal_for_pin_but_not_for_a_pad">Why is it possible to make a thermal for pin, but not for a pad?</a></h2>
   <div class="level2">
   
  @@ -691,7 +741,7 @@
   </p>
   
   </div>
  -<!-- SECTION [25661-26291] -->
  +<!-- SECTION [27534-28164] -->
   <h2><a name="can_pcb_be_used_to_make_single_layer_boards" id="can_pcb_be_used_to_make_single_layer_boards">Can PCB be used to make single layer boards?</a></h2>
   <div class="level2">
   
  @@ -710,7 +760,7 @@
   </p>
   
   </div>
  -<!-- SECTION [26292-27089] -->
  +<!-- SECTION [28165-28962] -->
   <h2><a name="what_resources_exist_to_process_pcb_files_using_scripts" id="what_resources_exist_to_process_pcb_files_using_scripts">What resources exist to process PCB files using scripts?</a></h2>
   <div class="level2">
   
  @@ -727,7 +777,7 @@
   </ol>
   
   </div>
  -<!-- SECTION [27090-28053] -->
  +<!-- SECTION [28963-29926] -->
   <h2><a name="how_do_i_import_external_vector_graphics" id="how_do_i_import_external_vector_graphics">How do I import external vector graphics?</a></h2>
   <div class="level2">
   
  @@ -740,12 +790,21 @@
   </p>
   
   </div>
  -<!-- SECTION [28054-29132] -->
  +<!-- SECTION [29927-31005] -->
  +<h2><a name="how_do_i_attach_a_name_to_my_layout" id="how_do_i_attach_a_name_to_my_layout">How Do I attach a name to my layout?</a></h2>
  +<div class="level2">
  +
  +<p>
  +You can set the name of the current pcb with <code>Menu Edit - Edit name of - layout</code>. This sets the title attribute of the layout. This attribute is used for the export actions. It does not interfere with the file name.   
  +</p>
  +
  +</div>
  +<!-- SECTION [31006-31276] -->
   <h1><a name="auto_router" id="auto_router">Auto Router</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [29133-29158] -->
  +<!-- SECTION [31277-31302] -->
   <h2><a name="how_do_i_force_the_autorouter_to_only_put_traces_on_a_particular_layer" id="how_do_i_force_the_autorouter_to_only_put_traces_on_a_particular_layer">How do I force the autorouter to only put traces on a particular layer?</a></h2>
   <div class="level2">
   
  @@ -754,7 +813,7 @@
   </p>
   
   </div>
  -<!-- SECTION [29159-29370] -->
  +<!-- SECTION [31303-31514] -->
   <h2><a name="how_do_i_force_the_autorouter_to_route_only_within_my_pcb_outline" id="how_do_i_force_the_autorouter_to_route_only_within_my_pcb_outline">How do I force the autorouter to route only within my pcb outline?</a></h2>
   <div class="level2">
   
  @@ -763,7 +822,7 @@
   </p>
   
   </div>
  -<!-- SECTION [29371-29802] -->
  +<!-- SECTION [31515-31946] -->
   <h2><a name="how_do_i_route_power_and_ground_planes_with_the_autorouter" id="how_do_i_route_power_and_ground_planes_with_the_autorouter">How do I route power and ground planes with the autorouter?</a></h2>
   <div class="level2">
   
  @@ -772,7 +831,7 @@
   </p>
   
   </div>
  -<!-- SECTION [29803-30084] -->
  +<!-- SECTION [31947-32228] -->
   <h2><a name="the_layout_produced_by_the_autorouter_is_inefficient" id="the_layout_produced_by_the_autorouter_is_inefficient">The layout produced by the autorouter is inefficient!</a></h2>
   <div class="level2">
   
  @@ -781,7 +840,7 @@
   </p>
   
   </div>
  -<!-- SECTION [30085-30265] -->
  +<!-- SECTION [32229-32409] -->
   <h2><a name="the_layout_produced_by_the_autorouter_is_ugly" id="the_layout_produced_by_the_autorouter_is_ugly">The layout produced by the autorouter is ugly!</a></h2>
   <div class="level2">
   
  @@ -790,12 +849,12 @@
   </p>
   
   </div>
  -<!-- SECTION [30266-30391] -->
  +<!-- SECTION [32410-32535] -->
   <h1><a name="gerber_generation_and_file_i_o_issues" id="gerber_generation_and_file_i_o_issues">Gerber generation and file I/O issues</a></h1>
   <div class="level1">
   
   </div>
  -<!-- SECTION [30392-30444] -->
  +<!-- SECTION [32536-32588] -->
   <h2><a name="how_do_i_make_a_board_outline_to_go_with_my_gerbers_to_the_board_maker" id="how_do_i_make_a_board_outline_to_go_with_my_gerbers_to_the_board_maker">How do I make a board outline to go with my gerbers to the board maker?</a></h2>
   <div class="level2">
   
  @@ -820,12 +879,16 @@
   )</pre>
   
   </div>
  -<!-- SECTION [30445-31291] -->
  +<!-- SECTION [32589-33435] -->
   <h2><a name="i_m_done_with_my_layout._how_should_i_check_my_design" id="i_m_done_with_my_layout._how_should_i_check_my_design">I'm done with my layout. How should I check my design?</a></h2>
   <div class="level2">
  +<ul>
  +<li class="level1"><div class="li"> Run a check of design rules either through the command interface (&rdquo;DRC()&rdquo;) or from the menu (Connects - Design Rule Checker). You can set the rules in the sizes section of the preference dialog. Results of the check are shown in the log window. </div>
  +</li>
  +</ul>
   
   <p>
  -Besides running the DRC checker in PCB, it is essential to check your Gerber files. The gEDA Suite includes the program â??gerbvâ?? for this task. Here are some things to check/verify:
  +Besides running the DRC checker, it is essential to check your Gerber files. The gEDA Suite includes the program â??gerbvâ?? for this task. Here are some things to check/verify:
   </p>
   <ul>
   <li class="level1"><div class="li"> Check that all trace widths are the correct size. Also make sure your trace widths and metal-metal separations are above the minimum specified by your PCB vendor.</div>
  @@ -849,14 +912,14 @@
   </ul>
   
   </div>
  -<!-- SECTION [31292-33268] -->
  +<!-- SECTION [33436-35655] -->
   <h1><a name="you_didn_t_answer_my_question._what_other_resources_exist_for_pcb_information" id="you_didn_t_answer_my_question._what_other_resources_exist_for_pcb_information">You didn't answer my question. What other resources exist for PCB information?</a></h1>
   <div class="level1">
   
   <p>
   <a href="http://www.luciani.org/geda/pcb/faq-pcb-footprint.html"; class="urlextern" title="http://www.luciani.org/geda/pcb/faq-pcb-footprint.html";  rel="nofollow">http://www.luciani.org/geda/pcb/faq-pcb-footprint.html</a><br/>
    <a href="http://pcb.sourceforge.net/faq.html"; class="urlextern" title="http://pcb.sourceforge.net/faq.html";  rel="nofollow">http://pcb.sourceforge.net/faq.html</a><br/>
  - <a href="http://pcb.sourceforge.net/pcb-20060422/pcb.html#Top"; class="urlextern" title="http://pcb.sourceforge.net/pcb-20060422/pcb.html#Top";  rel="nofollow">http://pcb.sourceforge.net/pcb-20060422/pcb.html#Top</a>
  + <a href="http://pcb.sourceforge.net/pcb-20060822/pcb.html#Top"; class="urlextern" title="http://pcb.sourceforge.net/pcb-20060822/pcb.html#Top";  rel="nofollow">http://pcb.sourceforge.net/pcb-20060822/pcb.html#Top</a>
   </p>
   
   <p>
  @@ -864,6 +927,6 @@
   </p>
   
   </div>
  -<!-- SECTION [33269-] --></div>
  +<!-- SECTION [35656-] --></div>
   </body>
   </html>
  
  
  
  1.2       +5 -5      eda/geda/gaf/docs/wiki/geda_usage.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_usage.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_usage.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- geda_usage.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ geda_usage.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:usage?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:usage?do=export_raw"; />
  -  <meta name="date" content="2006-05-06T00:02:56-0400" />
  +  <meta name="date" content="2006-10-06T15:17:23-0400" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -137,7 +137,7 @@
   </li>
   <li class="level1"><div class="li"> attribs: If you use â??gnetlist -g bom2â?? to create a project BOM, then you need this file in order to specify which attributes are written into the BOM.</div>
   </li>
  -<li class="level1"><div class="li"> projectrc: When going to layout, â??gsch2pcb projectrcâ?? is a convenient way to specify paths to local footprint directories, as well as hold other configuration information for â??gsch2pcbâ??. Note that this file may have any name you choose; I like to use projectrc ince its name is suggestive of its function.</div>
  +<li class="level1"><div class="li"> projectrc: When going to layout, â??gsch2pcb projectrcâ?? is a convenient way to specify paths to local footprint directories, as well as hold other configuration information for â??gsch2pcbâ??. Note that this file may have any name you choose; I like to use projectrc since its name is suggestive of its function.</div>
   </li>
   </ul>
   
  @@ -146,7 +146,7 @@
   </p>
   
   </div>
  -<!-- SECTION [4234-5185] -->
  +<!-- SECTION [4234-5186] -->
   <h2><a name="what_are_the_names_and_locations_of_the_rc_files_used_with_geda_gaf_applications" id="what_are_the_names_and_locations_of_the_rc_files_used_with_geda_gaf_applications">What are the names and locations of the RC files used with gEDA/gaf applications?</a></h2>
   <div class="level2">
   
  @@ -223,7 +223,7 @@
   </p>
   
   </div>
  -<!-- SECTION [5186-8559] -->
  +<!-- SECTION [5187-8560] -->
   <h2><a name="what_about_a_project_manager" id="what_about_a_project_manager">What about a project manager?</a></h2>
   <div class="level2">
   
  @@ -236,6 +236,6 @@
   </p>
   
   </div>
  -<!-- SECTION [8560-] --></div>
  +<!-- SECTION [8561-] --></div>
   </body>
   </html>
  
  
  
  1.3       +0 -0      eda/geda/gaf/docs/wiki/geda_wcalc_readme.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: geda_wcalc_readme.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/geda_wcalc_readme.html,v
  retrieving revision 1.2
  retrieving revision 1.3
  diff -u -b -r1.2 -r1.3
  --- geda_wcalc_readme.html	7 Sep 2006 01:58:26 -0000	1.2
  +++ geda_wcalc_readme.html	21 Oct 2006 03:57:46 -0000	1.3
  @@ -22,7 +22,7 @@
   
   <h1><a name="wcalc_readme" id="wcalc_readme">Wcalc README</a></h1>
   <div class="level1">
  -<pre class="code">$Id: geda_wcalc_readme.html,v 1.2 2006/09/07 01:58:26 ahvezda Exp $
  +<pre class="code">$Id: geda_wcalc_readme.html,v 1.3 2006/10/21 03:57:46 ahvezda Exp $
   
   Wcalc is a tool for the analysis and synthesis of transmission line structures and 
   related components.  Wcalc provides the ability to analyze the electrical parameters
  
  
  
  1.2       +14 -4     eda/geda/gaf/docs/wiki/index.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: index.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/index.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- index.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ index.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns="; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/start?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/start?do=export_raw"; />
  -  <meta name="date" content="2006-08-15T22:13:49-0400" />
  +  <meta name="date" content="2006-10-02T03:10:15-0400" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -31,6 +31,7 @@
   <li class="level2"><div class="li"><span class="li"><a href="#faqs_and_informal_project_documentation" class="toc">FAQs and Informal Project Documentation</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#talks" class="toc">Talks</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#translations" class="toc">Translations</a></span></div></li>
  +<li class="level2"><div class="li"><span class="li"><a href="#geda_users" class="toc">gEDA Users</a></span></div></li>
   <li class="level2"><div class="li"><span class="li"><a href="#about_this_wiki" class="toc">About this Wiki</a></span></div></li></ul>
   </li></ul>
   </div>
  @@ -131,7 +132,16 @@
   </ul>
   
   </div>
  -<!-- SECTION [3065-3181] -->
  +<!-- SECTION [3065-3180] -->
  +<h2><a name="geda_users" id="geda_users">gEDA Users</a></h2>
  +<div class="level2">
  +
  +<p>
  +Users may discuss development, help, installation, and working tips thru the mailing lists at geda.seul.org.  <a href="http://geda.seul.org/mailinglist/index.html"; class="urlextern" title="http://geda.seul.org/mailinglist/index.html";  rel="nofollow">Link to User Lists</a>.  There is also a Geda <acronym title="Internet Relay Chat">IRC</acronym> channel at irc.seul.org/6667 on the #geda channel.
  +</p>
  +
  +</div>
  +<!-- SECTION [3181-3459] -->
   <h2><a name="about_this_wiki" id="about_this_wiki">About this Wiki</a></h2>
   <div class="level2">
   
  @@ -144,6 +154,6 @@
   </p>
   
   </div>
  -<!-- SECTION [3182-] --></div>
  +<!-- SECTION [3460-] --></div>
   </body>
   </html>
  
  
  
  1.2       +2 -2      eda/geda/gaf/docs/wiki/start_es.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: start_es.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/start_es.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- start_es.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ start_es.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -12,7 +12,7 @@
     <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns="; />
     <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/start_es?do=export_xhtml"; />
     <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/start_es?do=export_raw"; />
  -  <meta name="date" content="2006-08-16T10:00:06-0400" />
  +  <meta name="date" content="2006-09-09T19:20:14-0400" />
     <meta name="robots" content="index,follow" />
     <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
     <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  @@ -64,7 +64,7 @@
   <div class="level2">
   
   <p>
  - <a href="http://pwp.etb.net.co/jegc/out/index.html"; class="urlextern" title="http://pwp.etb.net.co/jegc/out/index.html";  rel="nofollow"> Verilog con Software Libre</a>
  + <a href="http://glud.udistrital.edu.co/fileadmin/Archivo/Documentacion/Manuales/impvl/out/index.html"; class="urlextern" title="http://glud.udistrital.edu.co/fileadmin/Archivo/Documentacion/Manuales/impvl/out/index.html";  rel="nofollow"> Verilog con Software Libre</a>
   </p>
   
   <p>
  
  
  
  1.2       +4 -4      eda/geda/gaf/docs/wiki/start_fr.html
  
  (In the diff below, changes in quantity of whitespace are not shown.)
  
  Index: start_fr.html
  ===================================================================
  RCS file: /home/cvspsrv/cvsroot/eda/geda/gaf/docs/wiki/start_fr.html,v
  retrieving revision 1.1
  retrieving revision 1.2
  diff -u -b -r1.1 -r1.2
  --- start_fr.html	22 Aug 2006 02:56:12 -0000	1.1
  +++ start_fr.html	21 Oct 2006 03:57:46 -0000	1.2
  @@ -79,13 +79,13 @@
   <ul>
   <li class="level1"><div class="li"> <a href="001geda_faq.html" class="wikilink1" title="geda:faq.fr">FAQ.fr</a> : Questions les plus fréquement posées sur le projet gEDA lui-même.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_installation.html" class="wikilink2" title="geda:installation.fr">Installation.fr</a> : HOWTO et <acronym title="Frequently Asked Questions">FAQ</acronym> de l&rsquo;installation de gEDA.</div>
  +<li class="level1"><div class="li"> <a href="001geda_installation.html" class="wikilink1" title="geda:installation.fr">Installation.fr</a> : HOWTO et <acronym title="Frequently Asked Questions">FAQ</acronym> de l&rsquo;installation de gEDA.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_glossary.html" class="wikilink2" title="geda:glossary.fr">Glossary.fr</a> : Glossaire de termes qui sont spécifiques à la Suite gEDA</div>
  +<li class="level1"><div class="li"> <a href="001geda_glossary.html" class="wikilink1" title="geda:glossary.fr">Glossary.fr</a> : Glossaire de termes qui sont spécifiques à la Suite gEDA</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_usage.html" class="wikilink2" title="geda:usage.fr">Usage.fr</a> : Questions sur la manière d&rsquo;effectuer de la conception électronique en utilisant le jeu d&rsquo;outils &ndash; informations qui s&rsquo;appliquent à plusieurs ou à tous les outils de la Suite de gEDA.</div>
  +<li class="level1"><div class="li"> <a href="001geda_usage.html" class="wikilink1" title="geda:usage.fr">Usage.fr</a> : Questions sur la manière d&rsquo;effectuer de la conception électronique en utilisant le jeu d&rsquo;outils &ndash; informations qui s&rsquo;appliquent à plusieurs ou à tous les outils de la Suite de gEDA.</div>
   </li>
  -<li class="level1"><div class="li"> <a href="001geda_faq-gschem.html" class="wikilink2" title="geda:faq-gschem.fr">FAQ-gschem.fr</a> : Questions sur l&rsquo;installation, la configuration et l&rsquo;utilisation de gschem. De même, les questions sur la création et l&rsquo;utilisation de symboles avec gschem.</div>
  +<li class="level1"><div class="li"> <a href="001geda_faq-gschem.html" class="wikilink1" title="geda:faq-gschem.fr">FAQ-gschem.fr</a> : Questions sur l&rsquo;installation, la configuration et l&rsquo;utilisation de gschem. De même, les questions sur la création et l&rsquo;utilisation de symboles avec gschem.</div>
   </li>
   <li class="level1"><div class="li"> <a href="001geda_faq-attribs.html" class="wikilink2" title="geda:faq-attribs.fr">FAQ-attribs.fr</a> : De l&rsquo;utilisation des BOM, des DRC, de la gestion des attributs et ainsi de suite.</div>
   </li>
  
  
  
  1.1                  eda/geda/gaf/docs/wiki/001geda_debian.html
  
  Index: 001geda_debian.html
  ===================================================================
  <!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN"
   "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd";>
  <html xmlns="http://www.w3.org/1999/xhtml"; xml:lang="en"
   lang="en" dir="ltr">
  <head>
    <meta http-equiv="Content-Type" content="text/html; charset=utf-8" />
    <title>geda:debian.fr</title>
    <meta name="generator" content="DokuWiki Release 2006-03-09" />
    <link rel="start" href="http://geda.seul.org/wiki/"; />
    <link rel="contents" href="http://geda.seul.org/wiki/geda:debian.fr?do=index"; title="" />
    <link rel="alternate" type="application/rss+xml" title="Recent Changes" href="http://geda.seul.org/wiki/feed.php"; />
    <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
    <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:debian.fr?do=export_xhtml"; />
    <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:debian.fr?do=export_raw"; />
    <meta name="date" content="2006-09-19T16:40:49-0400" />
    <meta name="robots" content="index,follow" />
    <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
    <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  </head>
  <body>
  <div class="dokuwiki export">
  
  <h1><a name="notes_d_installation_debian_de_la_version_20060825" id="notes_d_installation_debian_de_la_version_20060825">Notes d'installation Debian de la version 20060825</a></h1>
  <div class="level1">
  
  <p>
   Voici un rapport d&rsquo;utilisateur qui fournit quelques éléments aux personnes installant avec le CDROM 20060825 sur une Debian. Notez que l&rsquo;utilisateur avec pré-ajouté les paquets -dev sur son système au lieu de laisser l&rsquo;installateur les trouver. C&rsquo;est une manière parfaitement acceptable &ndash; et souvent préférable &ndash; de traiter les dépendances de gEDA.
  </p>
  <pre class="code">
  Just completed an install on my main desktop box which is Debian
  etch/testing with patches of sid/unstable.
  
  Lib packages I had to add to my system:
          * libreadline5-dev
          * libgd1-xpm-dev
          * libwxgtk2.6-dev
          * libgtk1.2-dev (compile failed because of missing gtk-config.
            Configure did not seem to check for it.)
          * I needed to install guile-1.6-dev rather than libguile-dev.
            Else the installer fails to find scm_make_smob_type.
  
  On my system /usr/lib/libqthreads.so pointed to a non existing 
  /usr/lib/libqthreads.so.12.3.1 This may be a bug in Debians packaging
  rules. Thus the installer failed with:
          gcc: /usr/lib/libqthreads.so: No such file or directory make[2]:*** [libgeda.la] Error 1
  I resolved the issue by faking a link:
          sudo ln -s libqthreads.so.12.3.0 libqthreads.so.12.3.1
  </pre>
  
  </div>
  </div>
  </body>
  </html>
  
  
  
  1.1                  eda/geda/gaf/docs/wiki/geda_tragesym_tutorial.html
  
  Index: geda_tragesym_tutorial.html
  ===================================================================
  <!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN"
   "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd";>
  <html xmlns="http://www.w3.org/1999/xhtml"; xml:lang="en"
   lang="en" dir="ltr">
  <head>
    <meta http-equiv="Content-Type" content="text/html; charset=utf-8" />
    <title>geda:tragesym_tutorial</title>
    <meta name="generator" content="DokuWiki Release 2006-03-09" />
    <link rel="start" href="http://geda.seul.org/wiki/"; />
    <link rel="contents" href="http://geda.seul.org/wiki/geda:tragesym_tutorial?do=index"; title="" />
    <link rel="alternate" type="application/rss+xml" title="Recent Changes" href="http://geda.seul.org/wiki/feed.php"; />
    <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
    <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:tragesym_tutorial?do=export_xhtml"; />
    <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:tragesym_tutorial?do=export_raw"; />
    <meta name="date" content="2006-10-12T12:34:29-0400" />
    <meta name="robots" content="index,follow" />
    <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
    <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  </head>
  <body>
  <div class="dokuwiki export">
  <div class="toc">
  <div class="tocheader toctoggle" id="toc__header">Table of Contents</div>
  <div id="toc__inside">
  
  <ul class="toc">
  <li class="level1"><div class="li"><span class="li"><a href="#creating_gschem_symbols_quickly_and_easily_using_tragesym_and_a_spreadsheet_programm" class="toc">Creating gschem symbols quickly and easily using tragesym and a spreadsheet programm</a></span></div>
  <ul class="toc">
  <li class="level2"><div class="li"><span class="li"><a href="#required_steps" class="toc">Required Steps</a></span></div></li>
  <li class="level2"><div class="li"><span class="li"><a href="#step1open_a_tragesym_template_file" class="toc">Step1: Open a tragesym template file</a></span></div></li>
  <li class="level2"><div class="li"><span class="li"><a href="#step2download_the_part_data_sheet_and_find_the_pin_list" class="toc">Step2: Download the part data sheet and find the pin list</a></span></div></li>
  <li class="level2"><div class="li"><span class="li"><a href="#step3copy_the_pin_list_into_an_editor_and_prepare_it" class="toc">Step3: Copy the pin list into an editor and prepare it</a></span></div></li>
  <li class="level2"><div class="li"><span class="li"><a href="#step4insert_all_missing_data_into_the_spreadsheet" class="toc">Step4: Insert all missing data into the spreadsheet</a></span></div></li>
  <li class="level2"><div class="li"><span class="li"><a href="#step5export_the_spreadsheet_into_a_tab_seperated_text_file" class="toc">Step5: Export the spreadsheet into a tab seperated text file</a></span></div></li>
  <li class="level2"><div class="li"><span class="li"><a href="#step6execute_tragesym_and_create_the_symbol" class="toc">Step6: execute tragesym and create the symbol</a></span></div></li>
  <li class="level2"><div class="li"><span class="li"><a href="#step7check_the_result_with_gschem_and_do_some_artwork" class="toc">Step7: check the result with gschem and do some artwork</a></span></div></li>
  <li class="level2"><div class="li"><span class="li"><a href="#conclusion" class="toc">Conclusion</a></span></div></li>
  <li class="level2"><div class="li"><span class="li"><a href="#credits" class="toc">Credits</a></span></div></li></ul>
  </li></ul>
  </div>
  </div>
  
  <h1><a name="creating_gschem_symbols_quickly_and_easily_using_tragesym_and_a_spreadsheet_programm" id="creating_gschem_symbols_quickly_and_easily_using_tragesym_and_a_spreadsheet_programm">Creating gschem symbols quickly and easily using tragesym and a spreadsheet programm</a></h1>
  <div class="level1">
  
  <p>
   Creating symbols for schematic capture can be very time consuming, error prone, and an all around pain. Luckily, gschem uses an open, text based file format that is very easy to use with scripting. This short tutorial describes how to use the tragesym script, to create symbols very quickly (probably more quickly than with any commercial package).
  </p>
  
  </div>
  <!-- SECTION [1-450] -->
  <h2><a name="required_steps" id="required_steps">Required Steps</a></h2>
  <div class="level2">
  <ul>
  <li class="level1"><div class="li"> Step1: Open a tragesym template file</div>
  </li>
  <li class="level1"><div class="li"> Step2: Download the part data sheet and find the pin list</div>
  </li>
  <li class="level1"><div class="li"> Step3: Copy the pin list into an editor and prepare it</div>
  </li>
  <li class="level1"><div class="li"> Step4: Insert all missing data into the spreadsheet</div>
  </li>
  <li class="level1"><div class="li"> Step5: Export the spreadsheet into a tab seperated text file</div>
  </li>
  <li class="level1"><div class="li"> Step6: execute tragesym and create the symbol</div>
  </li>
  <li class="level1"><div class="li"> Step7: check the result with gschem and do some artwork</div>
  </li>
  </ul>
  
  </div>
  <!-- SECTION [451-872] -->
  <h2><a name="step1open_a_tragesym_template_file" id="step1open_a_tragesym_template_file">Step1: Open a tragesym template file</a></h2>
  <div class="level2">
  
  <p>
   tragesym comes with two template files. One is <a href="_media/tragesym_template.txt" class="media mediafile mf_txt" title="tragesym:template.txt">ASCII text</a>, the second is a <a href="_media/tragesym_template" class="media mediafile mf_ods" title="tragesym:template.ods">OpenOffice spreadsheet file</a>. You can obtain them from the gEDA utility package, too (utils/examples/tragesym/template.src and utils/examples/tragesym/template.ods).  If you prefer a different spreadsheet program, like gnumeric or kspread, just create your own template out of the textual template file. The only difference between the textual template and the spreadsheet template is the syntax of the key value pairs in the [options] and [geda_attr] sections. The textfile splits them with an equal sign (key=value), the spreadsheet has the value in the second column (which becomes a tab after beeing exported).
  </p>
  
  </div>
  <!-- SECTION [873-1666] -->
  <h2><a name="step2download_the_part_data_sheet_and_find_the_pin_list" id="step2download_the_part_data_sheet_and_find_the_pin_list">Step2: Download the part data sheet and find the pin list</a></h2>
  <div class="level2">
  
  <p>
   For this example, we will create a symbol from the Philips Semiconductor PCA9555 16-bit IIC IO port. The description of product and datasheet is available at Philips:  <a href="http://www-us.semiconductors.philips.com/cgi-bin/pldb/pip/pca9555.html"; class="urlextern" title="http://www-us.semiconductors.philips.com/cgi-bin/pldb/pip/pca9555.html";  rel="nofollow">http://www-us.semiconductors.philips.com/cgi-bin/pldb/pip/pca9555.html</a>. Download the datasheet and open it with a pdf viewer that allows copying text out of the pdf file, such as kpdf or acroread. Flip through the pages until you find the pinout for the device: 
  </p>
  
  <p>
  <a href="_detail/tragesym_kpdf_pinconfiguration.html" class="media" title="tragesym:kpdf_pinconfiguration.png"><img src="_media/tragesym_kpdf_pinconfiguration.png" class="media" alt="" /></a> <a href="_detail/tragesym_acroread_selectiontable.html" class="media" title="tragesym:acroread_selectiontable.png"><img src="_media/tragesym_acroread_selectiontable.png" class="media" alt="" /></a>
  </p>
  
  <p>
  Inside the datasheet the pin list may only be in the drawing of the pin configuration. If thereâ??s a nice table, like in the second picture from a different datasheet, use this one.
  </p>
  
  </div>
  <!-- SECTION [1667-2440] -->
  <h2><a name="step3copy_the_pin_list_into_an_editor_and_prepare_it" id="step3copy_the_pin_list_into_an_editor_and_prepare_it">Step3: Copy the pin list into an editor and prepare it</a></h2>
  <div class="level2">
  
  <p>
  Select the pin list and copy it into a text editor. This intermediate step is required as your spreadsheet programm may need a special field seperator, like comma or tab. You may have to remove duplicate seperators or replace it with tabs. 
  </p>
  
  <p>
  <strong>Note:</strong> The selection behaviour of kpdf is different from the acroread viewer. The kpdf viewer seems to give you a copy that looks more like the graphical representation, while the acroread viewer produces an output that is more &ldquo;file order&rdquo; oriented. If you have to create a lot of symbols, try both and compare the results.
  </p>
  
  <p>
  <a href="_detail/tragesym_xemacs_rawselection.html" class="media" title="tragesym:xemacs_rawselection.png"><img src="_media/tragesym_xemacs_rawselection.png" class="media" alt="" /></a> <a href="_detail/tragesym_xemacs_modifiedselection.html" class="media" title="tragesym:xemacs_modifiedselection.png"><img src="_media/tragesym_xemacs_modifiedselection.png" class="media" alt="" /></a> <a href="_detail/tragesym_ooo_selection.html" class="media" title="tragesym:ooo_selection.png"><img src="_media/tragesym_ooo_selection.png" class="media" alt="" /></a>
  </p>
  
  <p>
  The pictures above illustrate the steps: 
  </p>
  <ul>
  <li class="level1"><div class="li"> insert the selection from your pdf viewer into a text editor</div>
  </li>
  <li class="level1"><div class="li"> modify the pinlist to make your spreadsheet programm happy </div>
  <ul>
  <li class="level2"><div class="li"> cut out pinlist 24 to 13 with a rectangular selection</div>
  </li>
  <li class="level2"><div class="li"> insert the rectangular selection below the pins 1 to 12</div>
  </li>
  <li class="level2"><div class="li"> sort the lines (xemacs can do that <img src="lib/images/smileys/icon_wink.gif" class="middle" alt=";-)" />)</div>
  </li>
  <li class="level2"><div class="li"> remove or repair the lines with the numbers 2,3,... They are not in the same line. You can easily recreate the pin numbers later</div>
  </li>
  <li class="level2"><div class="li"> replace the spaces with tabs (not really need when using OpenOffice)</div>
  </li>
  </ul>
  </li>
  <li class="level1"><div class="li"> copy the modified data into the spreadsheet template somewhere beside</div>
  </li>
  </ul>
  
  </div>
  <!-- SECTION [2441-3816] -->
  <h2><a name="step4insert_all_missing_data_into_the_spreadsheet" id="step4insert_all_missing_data_into_the_spreadsheet">Step4: Insert all missing data into the spreadsheet</a></h2>
  <div class="level2">
  
  <p>
   Now, move the labels into the label column and the pin numbers into the pin number column. You can use the autofill feature of your spreadsheet programm to create the pin numbers, too.
  </p>
  
  <p>
  <a href="_detail/tragesym_ooo_rearanged.html" class="media" title="tragesym:ooo_rearanged.png"><img src="_media/tragesym_ooo_rearanged.png" class="media" alt="" /></a>
  </p>
  
  <p>
  Set the type, style and position column to the most common value. You can use the autofill feature again.
  </p>
  
  <p>
  Now you want to change some fo the values. Take a look at the pin description table in the datasheet:
  </p>
  
  <p>
  <a href="_detail/tragesym_kpdf_pindescription.html" class="media" title="tragesym:kpdf_pindescription.png"><img src="_media/tragesym_kpdf_pindescription.png" class="media" alt="" /></a>
  </p>
  
  <p>
  Regarding to that table we change the following things (see result below): 
  </p>
  <ul>
  <li class="level1"><div class="li"> Pin 1 is an output, we like to have a negation bubble and a negation overbar</div>
  </li>
  <li class="level1"><div class="li"> A1 to A3 are inputs, we change the type and move them to the left side</div>
  </li>
  <li class="level1"><div class="li"> set the SCL type to inpult and move SCL and SDA to the left side, too </div>
  </li>
  <li class="level1"><div class="li"> change the type of the power pins VSS and VDD and add net names. We use the more usual GND and Vcc.</div>
  </li>
  </ul>
  
  <p>
   <strong>Note:</strong> If one of your pin labels has a backslash &ldquo;\&rdquo; inside, you have to replace it with it&rsquo;s escape sequence &ldquo;\\&rdquo;.
  </p>
  
  <p>
  <a href="_detail/tragesym_ooo_changedpins.html" class="media" title="tragesym:ooo_changedpins.png"><img src="_media/tragesym_ooo_changedpins.png" class="media" alt="" /></a>
  </p>
  
  <p>
  Before going on set the attributes and the options to appropriate values.
  </p>
  
  <p>
  <a href="_detail/tragesym_ooo_attributes.html" class="media" title="tragesym:ooo_attributes.png"><img src="_media/tragesym_ooo_attributes.png" class="media" alt="" /></a>
  </p>
  
  </div>
  <!-- SECTION [3817-5026] -->
  <h2><a name="step5export_the_spreadsheet_into_a_tab_seperated_text_file" id="step5export_the_spreadsheet_into_a_tab_seperated_text_file">Step5: Export the spreadsheet into a tab seperated text file</a></h2>
  <div class="level2">
  
  <p>
   You can either use the export function of your spreadsheet programm to create a tab seperated textfile or just select the table and copy the content into your text editor and save the file.
  </p>
  
  </div>
  <!-- SECTION [5027-5291] -->
  <h2><a name="step6execute_tragesym_and_create_the_symbol" id="step6execute_tragesym_and_create_the_symbol">Step6: execute tragesym and create the symbol</a></h2>
  <div class="level2">
  
  <p>
  Use a shell and execute tragesym: 
  </p>
  
  <p>
  <code>werner@werner-amd64:~/Desktop/tragesym_tutorial&gt; tragesym pca555.src pca555.sch</code>
  </p>
  
  <p>
  If tragesym says that there&rsquo;s an error, just make some changes in the spreadsheet and export the file again, or just edit the exported file.
  </p>
  
  </div>
  <!-- SECTION [5292-5612] -->
  <h2><a name="step7check_the_result_with_gschem_and_do_some_artwork" id="step7check_the_result_with_gschem_and_do_some_artwork">Step7: check the result with gschem and do some artwork</a></h2>
  <div class="level2">
  
  <p>
   Now open the generated symbol with gschem and check the result 
  </p>
  
  <p>
  <code>werner@werner-amd64:~/Desktop/tragesym_tutorial&gt; gschem pca555.sch</code> 
  </p>
  
  <p>
  Enabling the hidden text (&rdquo;en&rdquo;, Edit&rarr;Show/Hide Inv Text), you can see the whole generated symbol. It&rsquo;s not really necessary to change anything, but it might improve the look of the symbol if you rearange the pins a little bit.
  </p>
  
  <p>
  <a href="_detail/tragesym_gschem_showhidden.html" class="media" title="tragesym:gschem_showhidden.png"><img src="_media/tragesym_gschem_showhidden.png" class="media" alt="" /></a> <a href="_detail/tragesym_gschem_finished.html" class="media" title="tragesym:gschem_finished.png"><img src="_media/tragesym_gschem_finished.png" class="media" alt="" /></a>
  </p>
  
  <p>
  Now we have a complete symbol. You can copy the symbol to your local symbol library e.g. /usr/local/share/gEDA/sym/local/ and use it.
  </p>
  
  </div>
  <!-- SECTION [5613-6254] -->
  <h2><a name="conclusion" id="conclusion">Conclusion</a></h2>
  <div class="level2">
  
  <p>
  This was a simple example with a small pincount, but this method really pays off when you have high pin counts (ie, bga-272, tfqp-100, etc).
  </p>
  
  </div>
  <!-- SECTION [6255-6419] -->
  <h2><a name="credits" id="credits">Credits</a></h2>
  <div class="level2">
  
  <p>
  Many thanks to &lt;Russ.Dill(AT)asu.edu&gt;, who wrote the first version of this tutorial. You can find that tutorial at <a href="http://www.geda.seul.org/docs/current/tutorials/tragesym/tragesym.html"; class="urlextern" title="http://www.geda.seul.org/docs/current/tutorials/tragesym/tragesym.html";  rel="nofollow">http://www.geda.seul.org/docs/current/tutorials/tragesym/tragesym.html</a>  
  </p>
  
  </div>
  <!-- SECTION [6420-] --></div>
  </body>
  </html>
  
  
  
  1.1                  eda/geda/gaf/docs/wiki/geda_ubuntu.html
  
  Index: geda_ubuntu.html
  ===================================================================
  <!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN"
   "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd";>
  <html xmlns="http://www.w3.org/1999/xhtml"; xml:lang="en"
   lang="en" dir="ltr">
  <head>
    <meta http-equiv="Content-Type" content="text/html; charset=utf-8" />
    <title>geda:ubuntu</title>
    <meta name="generator" content="DokuWiki Release 2006-03-09" />
    <link rel="start" href="http://geda.seul.org/wiki/"; />
    <link rel="contents" href="http://geda.seul.org/wiki/geda:ubuntu?do=index"; title="" />
    <link rel="alternate" type="application/rss+xml" title="Recent Changes" href="http://geda.seul.org/wiki/feed.php"; />
    <link rel="alternate" type="application/rss+xml" title="Current Namespace" href="http://geda.seul.org/wiki/feed.php?mode=list&ns=geda"; />
    <link rel="alternate" type="text/html" title="Plain HTML" href="http://geda.seul.org/wiki/geda:ubuntu?do=export_xhtml"; />
    <link rel="alternate" type="text/plain" title="Wiki Markup" href="http://geda.seul.org/wiki/geda:ubuntu?do=export_raw"; />
    <meta name="date" content="2006-10-03T20:08:43-0400" />
    <meta name="robots" content="index,follow" />
    <link rel="stylesheet" media="screen" type="text/css" href="lib/exe/css" />
    <link rel="stylesheet" media="print" type="text/css" href="lib/exe/001css" />
  </head>
  <body>
  <div class="dokuwiki export">
  
  <p>
   <strong>Ubuntu Install Notes</strong>
  </p>
  
  <p>
  Basic Stable Installation:  Use apt-get or synaptic to install a stable version from Debian repositories. (easy).  Alternately download a binary .deb from Debian (thanks to Hamish) and you can just sudo dpkg -i &lt;pcb-version.deb&gt;.
  </p>
  
  <p>
  Build Installation: Ubuntu doesn&rsquo;t include everything you need to compile software yourself.  You&rsquo;ll want to install the &lsquo;build-essential&rsquo; package using apt-get or synaptic to get the basic toolchain working on your machine.  (Things like GCC are not inc. in Ubuntu).  For a testing maturity level for PCB or geda, build-essential should be all you need.
  </p>
  
  <p>
  Be careful as synaptic can do extreme damage to your system.  Do be fooled into replacing libc6 because synaptic will want to remove all applications that depend on it &ndash; meaning your entire system.
  </p>
  
  <p>
  <acronym title="Concurrent Versions System">CVS</acronym> Installation: For the <acronym title="Concurrent Versions System">CVS</acronym> version of PCB under Edgy, your ./configure step will require running with switch &ndash;enable-maintainer-mode.  (more notes needed) 
  </p>
  </div>
  </body>
  </html>
  
  
  


_______________________________________________
geda-cvs mailing list
geda-cvs@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-cvs