[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: Force/Release



Hi,

I was wondering if anyone out there has come across a fix for hierarchical force and release in Icarus. I am seeing a similar problem as documented in the bug database.

http://www.icarus.com/cgi-bin/ivl-bugs/incoming?id=529;expression=force;user=guest

http://www.icarus.com/cgi-bin/ivl-bugs/incoming?id=699;expression=force;user=guest;messagetype=1

http://www.icarus.com/cgi-bin/ivl-bugs/incoming?id=587;expression=force;user=guest;messagetype=1

I have quiet a few signals in the testbench that are controlled via force and release, and it would be quiet extensive to change it, and wire up and control these signals. What I see when I do the force is that values that I set on the nets afterward are not getting updated.


force test_env_struct.log_tlnk_d = lnk_d;

lnk_d <= 1; // value does not change in this case

release test_env_struct.log_tlnk_d;

Thanks,
Russell