[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Problem building :: ICARUS Verilog



-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

Krishanu Debnath wrote:
| Hello,
|
| I am facing problem building ICARUS Verilog in my linux box. Here is
| the information about
| the machine I am using ..
|
| [x0030819 asiclindt004 Linux verilog-20050617]: uname -a
| Linux asiclindt004 2.4.18-27.7.x #2 Mon Jan 12 01:17:01 IST 2004 i686 unknown
|
| I am getting error during configuration.
|
| $> ./configure --prefix=/user/x0030819/my_local/
|
| I have attached the config.log file.
|
| Can someone tell me what's wrong going on here?

Looks to me like you lack C++ libraries. Is your libstdc++-devel package
installed?

- --
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."
-----BEGIN PGP SIGNATURE-----
Version: GnuPG v1.2.5 (GNU/Linux)
Comment: Using GnuPG with Mozilla - http://enigmail.mozdev.org

iD8DBQFC75I1rPt1Sc2b3ikRAs0DAJ9aiRS7BkvvrPdq0PAKxF82VVGPuwCcCMZS
KSHWsHfwobr2dbjKm6XlEVc=
=cuJt
-----END PGP SIGNATURE-----