[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: gEDA: Re: gEDA-user: Icarus Verilog RELEASE 0.7



Hello All,
I have a question related to PLI usage on ICARUS:
Right now I'm using PLI functions written by Mr. Chris Spear to read and
write the text files using verilog.
How can I map these PLIs to ICARUS?
Your help will be of great use to me!
regards,
Prasad.