[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Icarus Verilog issues with Teal



Stephen Williams wrote:
> Günter Dannoritzer wrote:
>> Hi,
>>
>> I am looking into using Icarus Verilog with Teal/Truss, a C++ based
>> verification framework.
> 
>> With Icarus 0.8.6 all tests but the last, with release of a_wire, are
>> working.
> 
> It's probably a matter of it not being implemented yet. Looks like
> a candidate for a bug report.
> 

I will try to figure this minimal VPI application out that I am working
on to trigger this error and then will commit it with a bug report.


[...]
>>
>>    issue # 1652096 vpi_put_value to net doesn't work
[...]
>> Sounds like that this bug report describes the problem I am seeing with
>> the Teal vreg_test. Is that a bigger issue to solve or is there someone
>> working on its solution already?
> 
> It's sitting in the database waiting for motivation. I wonder if
> this is the motivation that is needed?-)
> 

Well, I will be honest with you. Trying to figure out truss/teal I am
still finding some other problems of the software itself that makes me
not succeed using it. So for now I can live with using it with the
stable version of Icarus. It would be great in the long run, if it works
out to get teal/truss to work with Icarus, also have the development
snapshot do so.

Guenter





_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user