[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: icarus verilog trying AMS



   Hi all,
   I am trying to use the AMS feature in iverilog.
   COMMAND LINE:
   iverilog -gverilog-ams /tmp/ams3.vams
   OUTPUT:
   /tmp/ams3.vams:8: assert: elab_expr.cc:1441: failed assertion 0
   sh: line 1: 19501 Done                    /usr/local/lib/ivl/ivlpp -L
   -F/tmp/ivrlg237d8848 -f/tmp/ivrlg37d8848 -p/tmp/ivrli37d8848
        19502 Aborted                 | /usr/local/lib/ivl/ivl
   -C/tmp/ivrlh37d8848 -C/usr/local/lib/ivl/vvp.conf -- -
   The source code is very simple:
   `include "disciplines.h"
   module res(p,n);
    inout p,n;
    electrical p,n;
    parameter real r=0 from [0:inf);
    analog
       V(p,n) <+ r*I(p,n);
   endmodule
   I am not able to find out what I am doing wrong.
   Any help/hint  working sample code   etc. is very welcome

_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user