[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Icarus Verilog: specify path for $readmemh?



Stephen Williams wrote:
> Günter Dannoritzer wrote:
>> Hi,
>>
>> I am using the system task $readmemh to init some ROM. Now my question
>> is, can I specify for the simulation with Icarus somewhere the path to
>> the file I am using with $readmemh?
> 
> I can think of 2 ways:
> 
> You can use $value$plusargs at run time to get the path you want
> to use at run time as an extended argument to the vvp command.
> This won't work for synthesis, though.
> 
> You can replace your text with a macro, and define that macro in
> your Makefile (-D) or your iverilog commands file (+define+). This
> will work with synthesis because you can also pass the correct
> definition of the path to your synthesizer command line.

Thanks for the help. I am impressed about the $value$plusargs switch to 
pass information at run time. Need to read the help of Icarus more often :)

I ended up using Mike's idea about specifying the file name with 
relative path. That ended up working.

Guenter



_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user