[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: icarus, fork, and recursive tasks



>>>>> "DJ" == DJ Delorie <dj@xxxxxxxxxxx> writes:

    DJ> I'm trying to simulate an MCU's bus processor, which has a couple of
    DJ> timing parameters all keyed off the start of a transaction.

    DJ> Naively, I did this:

    DJ>       fork mcu_cson (address); mcu_wron (address); mcu_wdon
    DJ> (address); mcu_cswwait (address); join

    DJ> Each mcu_* task would wait the right number of cycles, toggle its
    DJ> line, and return.  However, they all called one task (twait()) that
    DJ> did "wait the right number of cycles".

    DJ> Not to usefully, they all shared the one task (or variable), and
    DJ> thus all exited at exactly the same time.

Isn't this better asked on the icarus mailing list ?
-- 
Uwe Bonnes                bon@xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
--------- Tel. 06151 162516 -------- Fax. 06151 164321 ----------


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user