[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: fpga



Hello,

For verilog synthesis and simulation you can use icarus verilog
(iverilog). It's a small, easy to use and fast tool. It's available as a
debian package in the standard distributions. I have found verilog's
syntax more friendly than vhdl's syntax.
For verilog syntax highlighting I have tried nedit (X11 based) and vim (in
text mode), all of them works fine.

Regards,
hoyuka

Kovács Levente said:
> Hey all,
>
> Can someone point me some article about FPGA programming on Linux. I
> mean... from design to uploading the code to the FPGA. What tools are
> available... etc. I'm pretty new to FPGA... I spent half a day
> googleing without any succes.
>
> Thanx. Levente
>
> --
> E-Mail: levente.kovacs@xxxxxxxxxxxx
> AIM: ha5ogl
> ICQ: 48710903
> MSN: ha5ogl@xxxxxxxxxxx
> Yahoo!: kieg_tk16
> Home Page: http://web.interware.hu/lekovacs
> Public key: http://web.interware.hu/lekovacs/cuccok/public_key
>
> ________________________________________
>
> /"\
> \ /    ASCII Ribbon Campaign
>  X   against HTML email & vCards
> / \     http://arc.pasp.de/
>
> Have Fun, & Linux! 73 for all by HA5OGL.
> This message was generated by Sylpheed.
>

-------------------------------------
Mi a C2? http://mail.c2.hu