[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[f-cpu] "yet another ROP2 version"



hi !

so i've finally debugged the newest version.
i have included Jaap's files but have not checked
them extensively. I am rather impressed by his
work, btw, but "we have a lot of work left to do" :-)

My recent additions are a complete synch between
the C and VHDL versions of ROP2. VHDL is straight-forward
with the IEEE textio package, C requires stdio and
a tiny "library". i think i'll even remove vhdl/stimulib.

This test is CRITICAL for the project. It is not enough
to have the code, it is important to prove that it works.
The problem gets even WORSE with different langages.
Now that i have stressed my ROP2 code, i'm certain that
both versions behave exactly the same.

Then all the units will have to pass the same kind
of tests... fortunately, now, we can write the test
vectors either with VHDL or C, or even both.

However, could someone help me rewrite the following :
(in f-cpu/vhdl/eu_rop2/vect_rop2.vhdl)

  -- lame, lame, lame, but it should work...
  function val(a : integer)
    return std_ulogic is
  begin
    if a = 1 then
      return '1';
    else
      return '0';
    end if;
  end val;

begin

  for a in 0 to 1 loop
    l_ROP2_in_A := F_VECTOR'(others => val(a));
    for b in 0 to 1 loop
      l_ROP2_in_B := F_VECTOR'(others => val(b));
      for c in 0 to 1 loop
        l_ROP2_in_C := F_VECTOR'(others => val(c));
        all_functions;
      end loop;  -- c
    end loop;  -- b
  end loop;  -- a

all i wanted is transform the integer into a std_ulogic
but never found the right casting :-/

i have not yet uploaded the latest "correct" snapshot.
i'll do this ASAP, though i had already posted something
tonight on f-cpu.seul.org/new (contains a few flaws).

Yet another remark : Jaap's version of include/f-cpu_types.h
is still flawed, i remarked this when running gcc -Wall -W.
You can use the newest version from my (not so complete
but this flaw is already corrected) tonight's snapshot.
Please also update configuration/f-cpu_types.h.in, so new builds
won't revert to the old flawed version.

ok, i have a lot of other things to do now...

WHYGEE
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/