[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Iverilog



User Tomdean wrote:
Is this off topic?

How do I cause iverilog to pick up modules from files?

I tried '-I../modules'

if the module fred is in the file fred.v, then add the directory path -y dirpath and tell the tool the file suffix with +libext+.v (for the .v extension ))

-I is for things included with `include "blah.v"

john


I created a schematic with device equal to the verilog file containing the module definition. For example,

  in gschem,
  device ver_7474

  in the file,
  ../modules/ver_7474
      module ver_7474(Q,Qbar,D,clk,PREbar,CLRbar);
      ...


Is there an include mechanism? Do I have to use iverilog -ccmd_file ?


tomdean


_______________________________________________ geda-user mailing list geda-user@xxxxxxxxxxxxxx http://www.seul.org/cgi-bin/mailman/listinfo/geda-user



_______________________________________________ geda-user mailing list geda-user@xxxxxxxxxxxxxx http://www.seul.org/cgi-bin/mailman/listinfo/geda-user