[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Re: Icarus Verilog with Xilinx simprims...



Stephen Williams wrote:

I've changed your section to be one heading level down, assuming
that is your desire. Thanks for contributing.

Looks good -

Thanks

Evan



_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user