[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[f-cpu] (VHDL) new tool-independent scripts



hi !

the result of 6 hours of hacking is very promising :
there will soon be no tool dependent scripting chores !
you won't be forced to do one script for Simili, another
for vanilla, etc... and the support of any other
tool will be almost painless !

The core of the program is a few bash scripts
which go fetch and read small tool description files.
i already have programmed the detection side for
simili, vanilla (the most difficult, because i don't
have libc5) and ncsim. Adding Riviera or modelsim
will be as simple as writing a few lines...
Another use is to run all tool at the same time.
That's easy, now.

Not everything is ready now, and i guess that the
different contributors have file trees in various
shapes. it's time to clean that up in order to
reduce all the coding efforts and finally concentrate
only on VHDL ;-)

i'll put my files in a few days on seul.org.

WHYGEE
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/