[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

[f-cpu] synopsys script



A little synopsys script for those who want to try. Under dc_shell you
could have an inline help for each of the command.

dc_shell < myscript

nicO

-------8<-----------
/*une ligne par fichier :*/
analyze -format vhdl {"path_to_file/file.vhd"}

elaborate
uniquify
/*c'est le nom du signal de l'horloge et la période est en nanoseconde*/
create_clock -name CLK -period CLK_PERIOD {CLK}

/* mets à plat le design (le synthetiseur ne respecte pas les limites
d'entité, c'est plus performant mais plus lent)
ungroup -all -flatten*/

compile
check_design > "check_design.rpt"
report_area > "report_area.rpt"

report_timing > "report_timing.rpt"

write -format db   -hierarchy -output "mydesing.db"
write -format edif -hierarchy -output "mynetlist.edif"
*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/