[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

RE: gEDA-user: Newbie questions - Icarus Verilog



Steve:

I don't understand how to use $dumpfile and $dumpvars variables. Originally,
I thought I could use the -t compiler option to output the correct file
format for GTKWave. But when I looked in the documentation you provided in
the iverilog man page, I realized I was mistaken. How do I use these two
variables in concert with the regular compiler output that is given
(default: for vvp)? I'm not at my home computer so I'll look at the FAQ
tonight to see if I missed something.

Thanks in advance,

Scott


-----Original Message-----
From: Stephen Williams [mailto:steve@icarus.com]
Sent: Sunday, April 21, 2002 10:27 PM
To: geda-user@seul.org
Subject: Re: gEDA-user: Newbie questions - Icarus Verilog 



smoberly@mindspring.com said:
> By the way, if you want to see waveforms and stuff, I understand you
> can  use GTKWave as it accepts several file outputs from the compiler.

There are gtkwave rpms here:

  <ftp://icarus.com/pub/eda/gtkwave/>

(Note: If you're wondering about the 2.0.0 rpms, they are actually of
a pre-release snapshot. There is no gtkwave-2.0.0 yet. I've volunteered
to maintain RPMS for gtkwave, and possibly Windows binaries. We'll see.)

Use the $dumpfile and $dumpvars system tasks to generate vcd output,
and the generated vcd file can be viewed with gtkwave or other standard
waveform viewers. There may even be an example Verilog program installed
in the doc directory that steps you through VCD output. I know that
example exists in the latest snapshot. There is also a FAQ about this,
tell me if it needs more detail.

-- 
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
steve at picturel.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."

abuse@xo.com
uce@ftc.gov