[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: gEDA-user: Newbie questions - Icarus Verilog




smoberly@mindspring.com said:
> By the way, if you want to see waveforms and stuff, I understand you
> can  use GTKWave as it accepts several file outputs from the compiler.

There are gtkwave rpms here:

  <ftp://icarus.com/pub/eda/gtkwave/>

(Note: If you're wondering about the 2.0.0 rpms, they are actually of
a pre-release snapshot. There is no gtkwave-2.0.0 yet. I've volunteered
to maintain RPMS for gtkwave, and possibly Windows binaries. We'll see.)

Use the $dumpfile and $dumpvars system tasks to generate vcd output,
and the generated vcd file can be viewed with gtkwave or other standard
waveform viewers. There may even be an example Verilog program installed
in the doc directory that steps you through VCD output. I know that
example exists in the latest snapshot. There is also a FAQ about this,
tell me if it needs more detail.

-- 
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
steve at picturel.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."

abuse@xo.com
uce@ftc.gov