[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: get on the bus!



sorry for the onslaught of questions recently.  i'm really working
hard on a few things and i keep coming across new features i would
like to utilize.  now i can't seem to get busses to do what i want...

regarding the graphic eq example, say i have a "filter bank" symbol
consisting of 8 bandpass filters.  i want to route the individual
filter outputs to another symbol.  do i HAVE to create 8 pins on both
of my symbols and net each one to the corresponding pin?  is it
possible to put all the filter outputs on a single bus and connect the
bus to a pin on my symbol, then net that pin to the input pin of my
next symbol, in which i can break it back up into 8 nets?  is that
even possible?  can't seem to get a bus to work with a pin.  can the
pin only represent a single net connection?

thanks
handsome greg