[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: iverilog vs. $realtime?



Stephen Williams wrote:
-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

Dan McMahill wrote:


Assertion failed: now.high == 0, file sys_time.c, line 126
Abort - core dumped

I can make that go away by commenting out a line where I do

x <= $realtime; // x is a real


This appears to be a bug in need of filing. This is actually a
problem/limitation with the $realtime implementation in vpi/sys_time.c.
Somehow your times are going beyond 32bits?

I was hoping I could reproduce it with something I can put on sf and thats when I ran into the other issue :(


I'll file a bug report about the other $realtime problem.

I don't think my times are going beyond 32 bits, but I'll double check.

-Dan