[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: gtkwave bug



Hi Tony
if you are still taking gtkwave bug reports, you can readily make it dump core
by loading in an old save file, either with signals no longer dumped, or
having changed a bus width.

while no big deal - its less than elegant.

Also gtkwave does not like vcd files that are large (>500M) with time > 32 bits, the waveform
gets truncated on read.

not real happy with vcd files bigger than ram size either - although this is a harder
problem to fix I suspect.

john



gtkwave /tmp/srm043_test16.vcd test16/a_guts

GTKWave Analyzer v1.3.24 (w)1999-2003 BSI

[0] start time.
[499999830] end time.
Warning: encountered 16643 glitches across 1440 glitch regions.
make: *** [test16.wave] Segmentation fault
bash> rm test16/a_guts