[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Icarus Verilog Release 0.8.6



Hi Stephen,

On Montag, 10. Dezember 2007, Stephen Williams wrote:
> Werner Hoch wrote:
> > Ok. I've build it now with the bz2 devel files from the i586 arch.
> > (not yet in the build service)
> >
> > Is there an easy way to test the 32bit verilog files?
> > I'm not an verilog user.
>
> Yes, run vvp32 instead of vvp. The reason for the "vvp32" is to
> have a 32bit runtime that can run 32bit VPI plugings that were
> built for use on 32bit machines. So if you run your Verilog code
> with "vvp32" instead of "vvp", then you run the 32bit binary
> instead.

Thanks.

The test runs worked well.

The verilog rpm package is available now for openSUSE_10.3 x86_64, too.

Regards
Werner


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user