[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: Icarus Verilog at Wescon



-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1


I seem to have allowed myself to be talked into a presentation at Wescon 2005, which I'm now fleshing out. The title is to be:

~ "Icarus Verilog in Mixed Vendor Environments"

and I would like to include in my presentation some case studies
from users other then me. I know there are folks out there who
have used Icarus Verilog in conjunction with other tools (Xilinx
ISE in my case, for example) including commercial Verilog sim-
ulators, and I was hoping they would share with me publicly their
experiences.

I have 1:45 to work with. I expect I can put corroborating materials
on the Icarus Verilog web site. I'll be sending an abstract in by
Wednesday (2/23/2005) morning and although I haven't any other
deadlines from my contact, I want to be all together no later then
the end of March. (The show is April 12-14).
- --
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."
-----BEGIN PGP SIGNATURE-----
Version: GnuPG v1.2.5 (GNU/Linux)
Comment: Using GnuPG with Mozilla - http://enigmail.mozdev.org

iD8DBQFCG1h6rPt1Sc2b3ikRAhBmAJ0e5ea8aXlz6DVr2XBzS64jKieZIQCgpaKB
VLEHXuQavkRpA8YBdSvUNt4=
=AfdM
-----END PGP SIGNATURE-----