[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

gEDA-user: gtkwave signals all show ? and are highlighted pink



Anybody know what gtkwave is trying to tell me when it shows all signal
as ? followed by all pink.

I have vcd file that was from simulating logic that worked. It has been
working up till now. Here is the version info.

GTKWave Waveform Viewer 2.0.0pre1-20020212
(c) 1999-2002 Tony Bybell (BSI)
    2000-2002 The University of Manchester
    2000      Udi Finkelstein

Thanks
Lonnie