[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: OT: (Vhdl help)



Hi,

I'm trying to implement an I2C controller for my project, and have
started from the Xilinx application note XAPP333.

ftp://ftp.xilinx.com/pub/applications/refdes/xapp333.zip

(Need to register to download I think).

The I2C controller section is an VHDL entity, and the SDA / SCL lines
are defined as:

  -- I2C bus signals
  sda : inout std_logic;
  scl : inout std_logic;

So.. my total NOOB question.. when I make a symbol for that, and
instantiate it in my schematic, exactly how to I hook up those signals
to a bi-directional IO buffer.

The IOBUF has explicit I, O and T (direction) connections.

-- 
Peter Clifton

Electrical Engineering Division,
Engineering Department,
University of Cambridge,
9, JJ Thomson Avenue,
Cambridge
CB3 0FA

Tel: +44 (0)7729 980173 - (No signal in the lab!)



_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user