[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Compilation problem with icarus 0.8.1?



>        // This one doesn't
>        a = b >> {(9'd256 + 9'd32 - d[4:0] - (9'd8 - c) + {(m - 1'b1),3'o0}),3'o0};
>
> junk.v:22: error: operand of concatenation has indefinite width: ((('d288)-(+d[4:0]))-((9'b000001000)-(c[2:0])))+({(m[31:0])-(1'b1), 3'b000})
> 1 error(s) during elaboration.

seems to think that 9'd256 + 9'd32 = 'd288
should be 9'd288 ?

Best regards,
--
Tomasz Motylewski
BFAD GmbH