[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: Icarus: Verilog implementation, System Verilog support



Hi,

I have been using Icarus Verilog now for a few months and start to love
it. Now I got the task to start looking into using System Verilog and
saw that Icarus has a compiler switch for that.

How much of System Verilog is implemented in Icarus? I read on the home
page that Stephen originally planned to implement it, but then moved
away from it?

Also, in general, is there a list of what parts of the language are
implemented and what not.

I had some issues with Verilog implementations and if, only found some
hints about the status of that specific implementation in mailing lists.

So I started looking into the source code, but don't have enough
knowledge of the Icarus structure as to determine what is implemented
and what not.

Thanks for the help.

Guenter