[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Icarus: Verilog implementation, System Verilog support



-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

Günter Dannoritzer wrote:
| Hi,
|
| I have been using Icarus Verilog now for a few months and start to love
| it. Now I got the task to start looking into using System Verilog and
| saw that Icarus has a compiler switch for that.
|
| How much of System Verilog is implemented in Icarus? I read on the home
| page that Stephen originally planned to implement it, but then moved
| away from it?

Almost none of SystemVerilog is implemented in Icarus Verilog,
and I plan to take out the switch for it. The SystemVerilog
language seems to have gotten out of hand, and I plan to stay
away from it for the forseable future.

- --
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."
-----BEGIN PGP SIGNATURE-----
Version: GnuPG v1.2.5 (GNU/Linux)
Comment: Using GnuPG with Mozilla - http://enigmail.mozdev.org

iD8DBQFCfYUurPt1Sc2b3ikRArXMAJ9qg5Q3DN6vvcfsfqrvo1ukszfKiACeLrwB
u96CJW58fF8MPXLQpqmBuRA=
=PrIz
-----END PGP SIGNATURE-----