[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Compiling Icarus Varilog



-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

Mark Allyn wrote:
> Hello:
> 
> I have been trying to compile the Icarus Varilog compiler
> under G++ and GCC version 4.0.3 (on Ubuntu). I get lots
> of errors almost immediately in the compile.
> 
> It seems that some of the basic constructs are violating
> G++.
> 
> Has anyone been sucessful in compiling Icarus under the
> GCC/G++ 4.0 regime?

Apparently, a variety of people have had success. You didn't
say the version of Icarus Verilog you are trying to compile,
or any of the error messages you are getting. If there is a
problem, that's the minimum needed to fix it.

If you have a sufficiently specific report, then by all means
file it in the bug reports database.

I often rely on patches from others for issues of portability,
since I don't have a porting lab in my office. I regularly
work with SuSE Linux/AMD64 9.2, and Mac OS X 10.3, as those are
what I have and regularly use. I have A Windows XP machine
with MinGW installed when I really must do some Windows work.

> Or should I be considering another GNU/Free varilog compiler?
> Is Icarus still the standard in open source varilog?
> 
> Thank you
> 
> Mark Allyn
> 


- --
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."
-----BEGIN PGP SIGNATURE-----
Version: GnuPG v1.2.5 (GNU/Linux)
Comment: Using GnuPG with Mozilla - http://enigmail.mozdev.org

iD8DBQFEcRp1rPt1Sc2b3ikRAlx+AJsFj6Xvl20PqYwr1oIBi5VLM2RArwCeJNPB
F6OwbsO+XtdkSRgRyp/aGhY=
=WERy
-----END PGP SIGNATURE-----