[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

gEDA-user: Re: iVerilog's Strengths [bug?]



lingwitt-Bdlq13kUjeyLZ21kGMrzwg@public.gmane.org wrote:
>     module test;
>         tri blah;
> 
>         assign (pull1, strong0) blah = 0 ? 0 : 1;
>         assign blah = 0;
> 
>         initial
>             $display("Blah should be 0: %d", blah);
>     endmodule
> 
> Output:
> 
>     Blah should be 0: x

Bug. It appears that the muxz device (the ternary operator) is
not properly strength-aware.

By the way, the strengths are associated with the *driver* and
not the value. There is a subtle but important difference, but
you are correct that the result of the above should be 0, not x.

-- 
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."


_______________________________________________
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user