[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: A Verilog AMS program to try



Stephen Williams wrote:
> 
> Does anybody have access to a Verilog-AMS tool and can said person
> attempt to run the attached sample program? It is a very simple
> program, but it is an attempt to test some of my understanding of
> very basic principles of Verilog-AMS.
> 
> I understand that Verilog-AMS tools are very few and very far between,
> but I would like to work on that problem. This example, though trivial
> in the extreme, covers a fair amount.

I think you want to declare your signals that you have listed as 
'voltage' as 'electrical'.  Thats assuming verilog-ams doesn't do things 
differently from verilog-a which I'm more familiar with.

-Dan






_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user