[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: icarus, fork, and recursive tasks



On 11/06/2010 10:22 PM, DJ Delorie wrote:
   begin
       cs = address[23:20];

       bclk1;
       a = address;
       d_val = data;
       rd = 1;
       mcu_state = 1;
       fork
	 mcu_cson (address);
	 mcu_wron (address);
	 mcu_wdon (address);
	 mcu_cswwait (address);
       join
       mcu_state = 2;


I don't think you want two different assignments to the same reg, mcu_state in the same begin end block.
A begin end block is not procedural, not top to bottom, all at once.

John


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user