[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Icarus Verilog 0.9.3 is Available



Hirokatsu SUNAKAWA wrote:
> On Mon, 27 Sep 2010 17:05:06 -0700
> Stephen Williams <steve-TQXSILARuq3QT0dZR+AlfA@xxxxxxxxxxxxxxxx> wrote:
> 
>> More details, including known limitations, deviations from IEEE Std
>> 1364-2005, where to obtain the source code, and links to some of the
>> precompiled packages can be found in the Release Notes located here:
>>
>>   <http://iverilog.wikia.com/wiki/Release_Notes_Icarus_Verilog_0_9_3>
> 
> Hi,
> Thanks to new release.
> 
> That wiki says I can get source tarball from ftp.icarus.com but I can't reach there.
> Instead, I could get it from http://sourceforge.net/projects/iverilog/files.


I tested the link in the wiki, and it works for me. But yes, the
sourceforge page has a copy of the source tarball as well.


-- 
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user