[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [f-cpu] C/VHDL interface



Yann Guidon a écrit :
> 
> hi !
> 
> Michael Riepe wrote:
> > On Wed, Aug 15, 2001 at 05:44:30AM +0200, Yann Guidon wrote:
> >
> > > i've taken a short look at your FLEX+BISON file and it looks
> > > interesting. However, i wonder ... what about those who
> > > work under Win/Dos ? The remark also applies to your scripts.
> > > Btw, the idea of the scripts is not bad because it spares
> > > us one compilation run.
> >
> > The scripts will also run on Win32 (if you have bash installed ;).
> >
> > The problem with them is that they do not check the syntax.  That *may*
> > introduce Big Bad Bugs (tm).  The parser avoids that because all
> > expressions have to be syntactically correct in the input.  Please let's
> > not follow Steinbach's Guideline for Systems Programming: "Never test
> > for an error condition you don't know how to handle."
> >
> > I can try to make a win32 .EXE (using LCC or CYGWIN).
> if you can do that, great !
> i'll try to see if a DOS/16 .exe can be compiled, too.
> 
> i just woke up and have to digest all your interesting posts,
> but concerning the assembler, i encourage you to reuse as much
> as possible from the one found at htt://www.f-cpu.de/design/snapshot.tgz
> it is a working assembler frame with bells and whistles,
> modifying the (small) syntax should be really easy.
> 
> i have to integrate the configuration files that you have created,
> so expect some small changes in the include hierarchy, but the rest
> will be ok.
> 

I could add there is many assembler soon written. So maybe, it will be
more interresting to make a quick emulator. Whygee one is for proof of
concept. So, we need a quick one to run long program at raisonnable
speed.

If you put macro inside your asm, never forget to introduice the dot
(like .not), most of the time we expect that 1 instruction take 32 bits
but it could be much more with macro.

nicO

> >  Michael "Tired" Riepe <Michael.Riepe@stud.uni-hannover.de>
> WHYGEE
> ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
> *************************************************************
> To unsubscribe, send an e-mail to majordomo@seul.org with
> unsubscribe f-cpu       in the body. http://f-cpu.seul.org/
*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/