[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: iverilog vs. $realtime?



Steve -

On Tue, Apr 25, 2006 at 01:48:21PM -0700, Stephen Williams wrote:
> > I'm trying to use $realtime for some stuff with the 20060409 verilog
> > snapshot.  I'm getting some funny results with $realtime [chop]
> 
> Seems likely to be an Icarus Verilog bug. I get the same error that
> you get, and I don't see anything wrong with your code. [chop]

It looks like an order-of-execution glitch, where the $display()
and the "second half" of the non-blocking assignment happen in the
same pass, with classic Icarus alternating order behavior.

    - Larry