[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: iverilog vs. $realtime?



-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

ldoolitt@xxxxxxxxxxxxxxx wrote:
> Steve -
> 
> On Tue, Apr 25, 2006 at 01:48:21PM -0700, Stephen Williams wrote:
>>> I'm trying to use $realtime for some stuff with the 20060409 verilog
>>> snapshot.  I'm getting some funny results with $realtime [chop]
>> Seems likely to be an Icarus Verilog bug. I get the same error that
>> you get, and I don't see anything wrong with your code. [chop]
> 
> It looks like an order-of-execution glitch, where the $display()
> and the "second half" of the non-blocking assignment happen in the
> same pass, with classic Icarus alternating order behavior.

No, I see no races in this. The "tval<=$realtime" will schedule
an assignment for later in the now time slow, and the $display
will display the unassigned value. Then the next iteration through,
the $display will catch the previous assign in the same way.

- --
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."
-----BEGIN PGP SIGNATURE-----
Version: GnuPG v1.2.5 (GNU/Linux)
Comment: Using GnuPG with Mozilla - http://enigmail.mozdev.org

iD8DBQFETo12rPt1Sc2b3ikRAlZkAKCyicnJzFgaO1UB1S1T8wf21nd7owCdHWgB
5uZraiS/umue7YSXlGPo87M=
=5e7U
-----END PGP SIGNATURE-----