[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Looking for tips to debug Icarus Verilog



Patrick -

On Thu, Apr 09, 2009 at 10:01:06AM -0400, Patrick Doyle wrote:
> For those of you who might be interested... I found the bug in my
> verilog code that was triggering the crash.  I had a spelling mistake
> in one of my nets that resulted in a net being implicitly declared.
> Personally, I don't think that this should trigger a crash of the
> compiler (and perhaps it doesn't on other systems), but I'm past my
> current hurdle.

If the compiler crashes, it has a bug, and you should report it.

> 1) Add a page to the iverilog wiki outlining some tips for debugging
> iverilog (such as the use of the "-v" flag, the use of the
> IVERILOG_ICONFIG environment variable, the importance of spelling
> IVERILOG_ICONFIG correctly, etc...)  If such information already
> exists somewhere, then I missed it, and I probably don't need to
> replicate it.

That's a good idea, go for it.

> 4) Narrow my code down to the barest minimum set of code that
> reproduces the crash and try steps 2 or 3.

Maintainers always appreciate it if you can put some time into
this step.

> 3) Send some combination of my current verilog code and/or the
> intermediate files to somebody else to see if the problem can be
> replicated on another platform.  Ideally that somebody would be
> intimately familiar with the code and would be able to spot the bug in
> less than 5 minutes (assuming that it is reproducible).

The best place to post your code is on the Sourceforge/Icarus bug tracker.
http://sourceforge.net/tracker/?atid=775997&group_id=149850&func=browse

   - Larry


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user