[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: Looking for tips to debug Icarus Verilog



Hello, I am having a problem running a Verilog simulation using Icarus
verilog on my Mac.  I have download and compiled the latest 0.9.1
snapshot as well as a few other snapshots.  I fully expect that the
problem has to do with my verilog code, or, more likely, with my
development environment, but I am at a loss for how to find the source
of that problem, short of figuring out why/how it is crashing
iverilog.


I tried firing up gdb on iverilog, but that doesn't do much good, as
iverilog is simply the driver program.  Is there a howto one can point
me at for debugging iverilog?


If you happen to be interested, here is what I get when I run iverilog:

 iverilog -I.. -o test_core.vvp test_core.v
../core.v:181: assert: elab_expr.cc:3334: failed assertion expr_type_
!= IVL_VT_NO_TYPE
sh: line 1: 13134 Done
/Users/wpd/local/lib/ivl/ivlpp -L
-F/var/folders/yk/ykPKojyqE2uCn7Lu0QU4J++++TI/-Tmp-//ivrlg2d27c294
-f/var/folders/yk/ykPKojyqE2uCn7Lu0QU4J++++TI/-Tmp-//ivrlgd27c294
-p/var/folders/yk/ykPKojyqE2uCn7Lu0QU4J++++TI/-Tmp-//ivrlid27c294
     13135 Abort trap              | /Users/wpd/local/lib/ivl/ivl
-C/var/folders/yk/ykPKojyqE2uCn7Lu0QU4J++++TI/-Tmp-//ivrlhd27c294
-C/Users/wpd/local/lib/ivl/vvp.conf -- -

This is on a MacBook running OS X 10.5.6 with a very recent install of
the Developer tools and macports.

I would like to figure this out, both for the immediate problem of
getting my simulation running, but also so that I might contribute
back to the community -- at a minimum, I could look into updating the
macport for iverilog to 0.9.1.  I also have a few feature enhancements
I would like to submit for review, but that requires that I have a
development environment that allows me to compile and debug iverilog
with some confidence.

--wpd


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user