[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: problems with icarus ..



Works OK for me, but I did specify an architecture:
iverilog -tfpga -parch=virtex addc.v

  --Mike