[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: What is the problem with this benchmark test case?



-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

CN wrote:
| Steve,
|
| Thank you for prompt response and the helpul suggestion. I will look
| into this.
|
| As I had mentioned earlier, I am relatively new to ivl. Are there many
| using ivl in syntheis mode? I have been monitoring this forum for a
| while, and I see almost no synthesis related discussions.

There are some, but few, people using Icarus Verilog for synthesis.
That is such a target specific task that users typically settle for
the vendor supposed synthesizer in the FPGA world until they need
real high power, and buy expensive tools.

Icarus Verilog is pretty darn common amongst those doing simulation,
of course.

- --
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."
-----BEGIN PGP SIGNATURE-----
Version: GnuPG v1.2.5 (GNU/Linux)
Comment: Using GnuPG with Mozilla - http://enigmail.mozdev.org

iD8DBQFC9RffrPt1Sc2b3ikRArbvAKCYEB8+UvW0Q+cNgk987/bQWcaJMgCdHb6r
NphETiiacvqdTGwn2Ykm2ok=
=3Yn+
-----END PGP SIGNATURE-----