[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Icarus Verilog info?



-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

Harold D. Skank wrote:

| [designer@AMD RcvAlg]$ ./vlogTST
| /home/designer/Etrema/RcvAlg/testfixture.v:37: syntax error
| /home/designer/Etrema/RcvAlg/testfixture.v:37: error: malformed
| statement
| testfixture.v:37: syntax error
| testfixture.v:37: error: malformed statement
| testfixture.v:51: Module testfixture was already declared
| here: /home/designer/Etrema/RcvAlg/testfixture.v:14

Looks like testfixture.v was included twice?

| For the second question, the old iverilog-fpga man page (apparently
| older than the current iverilog man page) referring to the parch=lpm
| option indicates that users may write interface libraries to connect
| netlists to vendor architecture.  I'm using Lattice devices, and have to
| go through an involved procedure to get from the behavioral code to the
| EDIF model acceptable to my Lattice compiler.  First of all, is this
| possibility (writing the interface library) still available, and if so,
| could you give me some clues about how to start?

What that statement is saying is that one may write a library in *EDIF*
that defines the LPM devices in terms of vendor supplied primitives. In
fact, some vendors may already include an LPM library for their devices.

| Thank you for your consideration.
|
| 	Harold Skank



- --
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."
-----BEGIN PGP SIGNATURE-----
Version: GnuPG v1.2.5 (GNU/Linux)
Comment: Using GnuPG with Mozilla - http://enigmail.mozdev.org

iD8DBQFC92w7rPt1Sc2b3ikRApXEAJ4rYhKA7xLp/RYhMVvMPbjAkddp3wCfYmPQ
Z/fn4GwmpKIUTDepwvHIoUo=
=CiGm
-----END PGP SIGNATURE-----