I am hoping that someone can help me with a problem that I am having. I have upgraded to Icarus Verilog for windows v0.9.1 from the www.bleyer.org/icarus site. Now when I run a simple test using the following two command line options iverilog -o tb.vvp -s tb tb.v vvp -l tb.log tb.vvp -lxt I am not able to open the resulting waves.lxt file in gtkwave for windows V3.2.1. If I switch the second command line to: vvp -l tb.log tb.vvp -vpd I can then open the resulting vpd file with gtkwave, after I rename the waves.lxt file to waves.vpd. I have attached the waves.lxt file that gets generated from the V0.9.1 tool. My tb.v file is as follows: `timescale 1 ns / 1 ns module tb(); real heartrate; real heartbeat; reg [63:0] clk_cnt; integer numclks; real tb_clk_unit; reg tb_clk; reg tb_rstb; reg [7:0] tb_cmp_val; initial begin heartrate = 10; clk_cnt = {64{1'h0}}; numclks = 1000; tb_clk_unit = 5; tb_clk = 1'b0; tb_rstb = 1'b1; tb_cmp_val = 8'h34; end always #(tb_clk_unit) tb_clk = ~tb_clk; initial begin $dumpfile("waves.lxt"); $dumpvars(0, tb); end initial begin #2; @(posedge tb_clk) tb_rstb <= 1; @(posedge tb_clk) tb_rstb <= 0; repeat (20) @(posedge tb_clk); @(posedge tb_clk) #1 tb_rstb <= 1; heartbeat = heartrate; for (clk_cnt = 0; clk_cnt <= numclks; clk_cnt = clk_cnt + 1) begin @(posedge tb_clk); if ((clk_cnt*100/(numclks)) >= heartbeat) begin $display(".... Simulation %d percent done ",((clk_cnt*100 / (numclks)))); heartbeat = heartbeat + heartrate; end end $stop(); $finish(); end endmodule Note that I have the IVERILOG_DUMPER variable set to lxt in my environment. I have previously been running Icarus Verilog for windows V0.8.2. When I compile the same tb.v using Icarus for windows V0.8.2, I can open up the resulting waves.lxt file using gtkwave. Has something changed in how you need to dump the resulting LXT file in moving from V0.8.2 to V0.9.1? I have noticed that the Any direction on what I need to change to get my LXT output would be appreciated. Regards, Jackson Nichol
Attachment:
waves.lxt
Description: Binary data
_______________________________________________ geda-user mailing list geda-user@xxxxxxxxxxxxxx http://www.seul.org/cgi-bin/mailman/listinfo/geda-user