[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: vhdl and gschem



El dom, 11-02-2007 a las 20:03 +0100, Chitlesh GOORAH escribiÃ:
[snip]
> I'm trying to make a VHDL file from a mere simple half adder schematic:
> http://tux.u-strasbg.fr/~chit/half_adder/adder.sch
> 
> with:
> gnetlist -g vhdl adder.sch -o output.vhdl
> http://tux.u-strasbg.fr/~chit/half_adder/output.vhdl
> 
> However, I don't know how to create an entity with gschem. Can any one
> point how to make a simple one for my adder.sch ?
> 
> In my actual output.vhdl, the entity is "not found".

Add a top-level attribute called module-name.
Example: module-name=your-desired-instance-name

--- adder.sch.orig	2007-02-11 17:34:55.000000000 +0100
+++ adder.sch	2007-02-11 20:16:55.000000000 +0100
@@ -43,3 +43,5 @@
 netname=C
 }
 B 46500 46300 2800 2700 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 46500 46100 9 10 1 0 0 0 1
+module-name=your-desired-instance-name

-----

> My second question about the vhdl and geda is how can I simulate that
> output.vhdl file with ghdl when the generated output.vhdl comes with:
> component 7408 or 7086 in my case. how can I make ghdl read those
> particular components as their respective entities and architecture
> ("or", "and")? Should I create those entities by myself or is it there
> something automated ?

It seems to me that you should have the vhdl models for those components
in order to use them with vhdl. Anyway I haven't used ghdl at all...

Regards,

Carlos



_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user