[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: vhdl and gschem



Hello thre,

I'm trying to make a VHDL file from a mere simple half adder schematic:
http://tux.u-strasbg.fr/~chit/half_adder/adder.sch

with:
gnetlist -g vhdl adder.sch -o output.vhdl
http://tux.u-strasbg.fr/~chit/half_adder/output.vhdl

However, I don't know how to create an entity with gschem. Can any one
point how to make a simple one for my adder.sch ?

In my actual output.vhdl, the entity is "not found".

My second question about the vhdl and geda is how can I simulate that
output.vhdl file with ghdl when the generated output.vhdl comes with:
component 7408 or 7086 in my case. how can I make ghdl read those
particular components as their respective entities and architecture
("or", "and")? Should I create those entities by myself or is it there
something automated ?


thanks Chitlesh -- http://clunixchit.blogspot.com


_______________________________________________ geda-user mailing list geda-user@xxxxxxxxxxxxxx http://www.seul.org/cgi-bin/mailman/listinfo/geda-user